• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 150건

Ⅰ. 목 표 AVR ATmega128을 이용하여 스탑워치(Stop Watch)를 구현해본다. 분:초:프레임(1/100) 의 구성으로 00:00:00 ~ 59:59:99 의 범위안의 시간을 카운팅한다. 그리고 Start, Stop, Reset 스위치 버튼을 이용해서 스탑워치의 시간을 시작하고, 멈추고, 초기화
  • 페이지 11페이지
  • 가격 5,000원
  • 등록일 2015.10.28
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
프로그램의 flow chart ▶디지털시계 작동 결과물 ~별도 첨부 <타이머/카운터를 이용한 디지털시계 설계> ▶이론 및 배경 ▶타겟 보드(ATmega128 보드)설명 및 회로도 첨부 ▶프로그램 소스파일 분석 ▶전체 프로그램의 flow chart
  • 페이지 10페이지
  • 가격 1,500원
  • 등록일 2011.12.17
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
- 설계 배경 한 학기 동안 학습한 AVR KIT 내의 여러 가지 입출력 장치들을 응용하여서 디지털 시계의 입출력에 응용하게 됩니다. 이전에 설계했던 디지털 시계는 단순히 시간만 표시하였지만, 이번에 설계하는 시계는 시간 수정, 스탑 워치 등
  • 페이지 58페이지
  • 가격 5,000원
  • 등록일 2009.02.10
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Ⅰ. 목 표 보고서에서는 AVR을 이용한 디지털 알람시계를 만드는 법에 대하여 알아보도록 한다. 본 디지털 알람시계는 00:00:00 ~ 23:59:59 의 시간범위로 운용되며 AVR의 타이머/카운터 기능을 베이스로 ‘1초’를 카운팅하여 시간을 구성한다. 그
  • 페이지 30페이지
  • 가격 7,000원
  • 등록일 2015.12.04
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
ALTERA MAX+PLUS Ⅱ를 사용한 디지털 시계 LCD와 7세그먼트로 시간을 표현 스탑워치와 알람기능포함 도트메트릭스로 시간을 표현 핀설정은 다 되어있음 
  • 페이지 20페이지
  • 가격 10,000원
  • 등록일 2008.12.12
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 1건

워치모드 - 스톱워치 시작/정지 기능 - 최대 50명까지 메모리 기능 - 리셋기능 4) 알람모드 - 알람시간 셋팅할 세그먼트 깜빡거림으로 표시 - 알람 울릴때 계속 안누르면 50번까지만 울리고 자동 해제 기
  • 페이지 16페이지
  • 가격 12,000원
  • 발행일 2009.06.15
  • 파일종류 압축파일
  • 발행기관
  • 저자
top