• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 25건

4Bit 덧셈기 2개,AND 게이트 2개,OR 게이트 1개로 구현가능. Decimal symbol BCD digit 0 0000 1 0001 2 0010 3 0011 4 0100 5 0101 6 0110 7 0111 8 1000 9 1001 5. 실험 계획 [BCD 덧셈기_뺄셈기] => 스위치를 눌렀을 때는 2번째 입력이 보수가 취해지고 스위치를 누르지 않
  • 페이지 3페이지
  • 가격 2,000원
  • 등록일 2015.02.26
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
을 한 개의 4bit 덧셈기를 이용하여 디스플레이 하기쉽도록 다시 연산하여 출력하는 회로이다. 이 회로에 위에서 언급했던 뺄셈기의 원리를 조금만 적용하면 BCD 4bit 덧셈기/뺄셈기를 구현할수 있다. 즉 이 회로를 가지고 조금만 생각해 보면 만
  • 페이지 6페이지
  • 가격 1,300원
  • 등록일 2006.04.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
가감산기의 결과 1) symbol_1 adder adder symbol 2) symbol_2 full adder full adder symbol 3) symbol_3 input_1 input_1 symbol 4) symbol_4 fulladder_2 fulladder_2 symbol 5) symbol_5 input_2 input_2 symbol 4) 최종 회로도 회 로 도 functional [덧셈기] functional [뺄셈기] ★ 고찰 - BCD 가감산기
  • 페이지 4페이지
  • 가격 1,600원
  • 등록일 2015.02.23
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
BCD to Binary설명.docx 공지사항 설계 주제 : BCD to Binary 구현 1. 지금까지 설계한 덧셈기, 뺄셈기, 곱셈기, 나눗셈 연산기에 BCD-to-Binary 회로를 추가하여 signed-BCD 입력, Sign & magnitude binary 출력의 연산기를 구현한다.(그림) ( 4칙 연산 모두 양/
  • 페이지 32페이지
  • 가격 5,000원
  • 등록일 2013.12.28
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
덧셈기를 구현하자. 3. 관련 이론 Altera simulation tool의 사용방법 MAX+PLUSⅡ    QuartusⅡ 과제(덧셈기/뺄셈기 구현)을 Altera의 graphic editor를 이용해 모의실험. Altera Quartus를 이용한 디지털 회로 설계에 관한 문서 DigitalDesign1.pdf 전가산기:2bit의
  • 페이지 4페이지
  • 가격 1,600원
  • 등록일 2015.02.26
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top