• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 63건

FPGA(Field programmable Gate array)의 구조와 동작 FPGA의 구조와 동작을 알기 위해 FPGA가 무엇인지를 알아야 한다. 또 그전에 FPGA를 설명 하기 위해서는 ASIC가 무엇인지를 알아야 한다. ASIC(Application Specific Integrated Circuit)는 특정 목적으로 설계, 제
  • 페이지 6페이지
  • 가격 1,500원
  • 등록일 2012.02.24
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
FPGA_RSTB : IN std_logic; FPGA_CLK : IN std_logic; load_operand1 : IN std_logic; load_operand2 : IN std_logic; load_plus : IN std_logic; load_minus : IN std_logic; …… ① calculate : IN std_logic; operand : IN std_logic_vector(3 downto 0); LCD_A : OUT std_logic_vector(1 downto 0); LCD_EN : OUT std_lo
  • 페이지 10페이지
  • 가격 2,500원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
FPGA를 이용한 설계 흐름 2. 본론 2.1 Xilinx ML310 Board Specification 2.1.1 ML310 Board 2.1.1 Virtex2pro 2.2 Ethernet MAC 연구 2.2.1 Ethernet MAC 원리 2.2.2 Ethernet MAC 스케메틱 및 핀배치 2.3 OFDM MODEM 구현 2.3.1 OFDM MODEM의 원리 2.3.2 OFDM MODEM 구현 2.3.2.1 Mapper & Demapp
  • 페이지 77페이지
  • 가격 10,000원
  • 등록일 2006.06.01
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
PC 한 대 FPGA package 1개 Logic tester 4. 실험과정 1. NAND gate를 이용한 다음 회로를 programming하면, 이 회로에서 data 신호가 control 신호에 의해 제어되는 과정을 관찰할 수 있다. 다음 회로를 구성하고, 표에 결과 값을 기입하라. 표7-1 control signal input dat
  • 페이지 5페이지
  • 가격 500원
  • 등록일 2010.12.27
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
FPGA와 비교했을 때 게이트 배열은 대량생산에서 더 작은 소비전력과 비용을 가진다. NRE비용은 주문형 마스크 셋 비용의 일부분일 수 있다. 지금까지 FPGA와 Sea-of-Gates에 대하여 알아보았다. 그렇다면 전반적인 내용에 대하여 비교하여 표로 정
  • 페이지 7페이지
  • 가격 3,300원
  • 등록일 2013.07.01
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 1건

FPGA Hardware Devices with Single -Instruction Driving For An Embeded Mobil Computing Platform." Proceedings. 4th International Conference on ASIC, 2001 Page(s): 514 -517 [8] Yong-Sun Na, Oh-Kyong Kwon, "A single chip driver system for 1.2inch Organic Electro-Luminescent display" IEEE Transactions o
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 5건

업이었기 때문에 저는 2명으로 이뤄진 조의 팀장을 맡았습니다. 첫 번째 과제로 KIT에 전자계산기를 구현하는 것이었습니다. 저는 다른 조와 차별화 전략으로 ARM Core를 사용하자고 했고, 조원은 안정적으로 FPGA만을 사용하자고 했습니다. 계속
  • 가격 1,700원
  • 등록일 2010.09.24
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
FPGA 기판을 설계하는 과목을 수강했습니다. 처음에 여러 프로그래밍 언어를 사용하는 것이 어려웠습니다. 하지만 교수님의 다른 개설 과목인 ‘컴퓨터00’를 같이 수강하며, 기초를 쌓아 나갔습니다. 이것으로는 부족했기 때문에 항상 수업
  • 가격 10,000원
  • 등록일 2017.10.19
  • 파일종류 한글(hwp)
  • 직종구분 IT, 정보통신
RTL 설계 엔지니어는 회로에 대한 이해를 바탕으로 목표 애플리케이션에 최적화된 설계 역량이 필요합니다. 저는 이를 위해 다음과 같은 경험을 쌓아왔습니다. 첫째, 직무 수행에 필요한 전공 지식을 갖췄습니다. 전자회로1,2, 집적회로, 디
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
FPGA를 스스로 분석하고 S/W를 결합하기로 했습니다. 주제는 System Clock과 분주비를 이용하여 Swithcing Time을 조절하고, 그에 따른 전류가 흐르는 성질을 이용한 LED 밝기 제어였습니다. 유관으로 쉽게 확인되지 않는 LED 밝기는 분주비의 비율을 높
  • 가격 3,000원
  • 등록일 2023.02.17
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
FPGA 활용한 프로젝트 경험 있으신가요? 20 영어로 자신이 기계공학을 왜 전공했는지 얘기해보세요. 21 사용 가능한 프로그램은 무엇이 있나요? 22 자사 홈페이지 들어갔을때 어땠는지? 23 오토닉스에 관해 아는 점을 말해주세요. 24 납품 납기가
  • 가격 19,900원
  • 등록일 2022.05.24
  • 파일종류 한글(hwp)
  • 직종구분 기타
top