• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 5건

주 진행될수록 VHDL이라는 언어에 점점 더 다가가는 것 같아서 마음이 뿌듯했고, 다음 실험도 수업시간에 집중하고, 집에서 미리미리 예습하여 정확하고 성공적인 실험을 이끌어 나가야겠다. 1. Purpose 2. Problem Statement 3. Sources & Results
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
rom을 이용하면 프로그램을 매우 간단 수월하게 만들 수 있을 것이다. coffee 자판기 FSM을 통하여 목적성을 가진 프로그램의 모델링을 해보았으며 VHDL을 이용한 machine들이 어떻게 구동하는지 어떤 원리로 작동하는지 알게되었다. 1. 예비조
  • 페이지 7페이지
  • 가격 1,300원
  • 등록일 2010.03.08
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
VHDL코딩을 중심으로, 대영사 ▷ 이재수 외, 전자계산기 일반 및 컴퓨터구조, 한올 Ⅰ. 개요 Ⅱ. CPU(중앙처리장치) Ⅲ. 메인보드(Main Board, 마더보드) Ⅳ. 주기억장치 1. RAM의 기능 2. RAM의 사용목적 3. RAM칩 4. ROM Ⅴ. 보조기억장치 1.
  • 페이지 9페이지
  • 가격 5,000원
  • 등록일 2009.07.13
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
VHDL로 모델링 되었으며, 회로 합성을 거쳐 P&R을 수행하고 그 결과로부터 생성한 HDL과 표준 지연 파일을 이용하여 20MHz의 주파수에서 하위 레벨 검증을 마쳤으며 이를 FPGA에 다운로드하여 그 출력을 확인하였다. 구현한 AAL은 HDTV 시스템뿐 아니
  • 페이지 12페이지
  • 가격 2,300원
  • 등록일 2002.11.08
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
VHDL코딩을 중심으로, 대영사 Ⅰ. 컴퓨터(PC)의 구조 Ⅱ. 중앙처리장치(CPU) Ⅲ. 주기억장치 1. ROM(Read Only Memory) 2. RAM(Random Access Memory) Ⅳ. 보조기억장치 Ⅴ. 키보드 Ⅵ. 마우스 Ⅶ. 모니터 1. CRT(Cathode Ray Tube) 2. LCD(Liquid Crystal Displ
  • 페이지 6페이지
  • 가격 5,000원
  • 등록일 2009.07.21
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top