• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 13,365건

이용하여 RAM을 설계하였는데, 어려울 것처럼 보였으나, 교수님께서 다음번 강의안에 친절하게 소스 코드를 첨부해 주셔서 생각보다 훨씬 쉽게 실험을 진행할 수 있었다. 또한 소스 코드를 보면서 단순하게 copy & paste가 아닌, 직접 타이프도 하
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
XILINX FPGA World 김 혁 | 엔트미디어 | 2006년 04월 [4] ISE를 이용한 VHDL 및 FPGA 실습 김 재 철 | 홍릉과학출판사 | 2005년 02월 [5] FPGA DESIGN 이론 및 실습 DAVID VAN DEN BOUT | 김만복 편 옮김 | 홍릉과학출판사 | 2000년 09월 [6] 디지털 논리와 컴퓨터 설계 M.MORRI
  • 페이지 36페이지
  • 가격 2,000원
  • 등록일 2007.01.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계에는 두가지 선택권이 있었다. 하나는 FPGA킷을 이용하여 설계를 하는 것과, FPGA 킷을 이용하지 않고 testbench를 이용해서 동작 특성을 보이는 것을 설계하는 것. 이렇게 두가지 방법이 있었는데, 우리 조는 FPGA 킷을 이용하지 않는 쪽을 선택
  • 페이지 19페이지
  • 가격 4,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
기판을 연결해서 디지털 시계를 만들어 본다던지 하는 것에 관심이 생겼다. 다음 실습인 계산기 설계에서도 미리미리 준비하고 예습해서 어려움 없이 성공적으로 실습을 마무리 지어야 겠다. 1. Purpose 2. Problem Statement 3. Sources & Results
  • 페이지 8페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계하였다. 처음에는 어려울 것 같았으나 교수님께서 앞부분의 3bit up/down counter의 소스코드를 잘 이용하라고 힌트를 주셨고, 실험 수업 이후 다른 전공 수업에서 binary/gray counter에 대한 내용을 들어서 더욱 쉽게 소스를 짤 수 있었다. 하지만
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 130건

: 16 ㎝/s 이상 150 ㎝/s 미만 사용환경 :  -20 ℃ ~ 50 ℃ 설치 및 사용이 용이하고 외부 이물질로부터 차폐될 것. 연구목적 완강기의 정의 - 문제점과 개선방향 설계 - Solid Edge를 이용한 3차원 모델링 제작 고찰
  • 페이지 11페이지
  • 가격 2,000원
  • 발행일 2008.12.15
  • 파일종류 압축파일
  • 발행기관
  • 저자
이용하여 시뮬레이션 했고 그 값이 실제 소자를 이용하여 시스템을 설계했을 때와 비교하여 문제점과 개선점을 찾았다. 로봇이 물건을 원하는 위치에 옮기는 동작에서 로봇의 그립이 먼 거리에 있을 때와 가까이 있을 때 분해능이 다르기 때
  • 페이지 7페이지
  • 가격 2,500원
  • 발행일 2010.02.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계와 동시에 해석을 하는 프로그램은 DAFUL, 이 외에 국내에서 1개뿐이다. 그만큼 희소성이 크고 발전가능성이 있는 프로그램이라고 할 수 있다. 그러나 출시가 된지 얼마 안 되었기에 잦은 패치와 참고할 만한 서적과 자료가 부족하다. 아
  • 페이지 43페이지
  • 가격 5,000원
  • 발행일 2012.06.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이용한 설계 80 Verilog-HDL을 이용한 설계 20 Simulink를 이용한 시뮬레이션 20 Simulink를 이용한 시뮬레이션 80 <표5-2. 비용> 항목 세부항목 소요비용 재료비 시제품가공비 기타 경비 목차 1장. 작품과제 필요성 2장. 작품과제 해결 방안 및
  • 페이지 24페이지
  • 가격 30,000원
  • 발행일 2009.12.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계 22 제 1 절 연구 가설 설정 22 제 2 절 조사 설계 23 1. 설문지의 구성 23 2. 분석의 방법 24 제 3 절 연구 가설 설정 25 제 4 장 실증 분석 26 제 1 절 조사대상자의 특성 26 1. 일반적 특성 26 2. 이용행태에 관한 특성 27 제 2 절 변
  • 페이지 41페이지
  • 가격 4,000원
  • 발행일 2008.06.25
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 231건

설계 제안서 김성민 김상빈 김지호.hwp…………………………………………………2p 종합설계 제안서 태양광과 벅 컨버터를 이용한 충전시설 설계 ▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒
  • 가격 4,000원
  • 등록일 2013.04.25
  • 파일종류 압축파일
  • 직종구분 IT, 정보통신
설계 기술력 향상을 위하여 기술 개발 제품들에 대한 설계 신뢰도 향상 및 설계검증 등 역량을 쌓으며 Background를 구축하겠습니다. 10년 후에는 정직의 가치를 지키며 해외 경쟁사들과 전략적 기술 제휴를 체결하며 선진 기술 도입 및 글로벌
  • 가격 4,000원
  • 등록일 2023.09.19
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
설계기법 1. 생산라인 방식의 적용 1) 직무 세분화 2) 서비스인력의 기술 대체 3) 서비스 표준화 2. 공동생산자로서의 고객 3. 고객접촉 방식 4. 정보권한 1) 종업원권한 2) 고객권한 Ⅱ. 서비스전달 시스템의 종류 1. 기능 위주의 서비
  • 가격 2,300원
  • 등록일 2014.05.28
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
능력을 이용한 최적설계를 통하여 이 두 마리 토끼를 다잡을 수 있는 그런 설계를 하고 싶습니다. BEST 입사지원서 1. 성장과정 및 성격의 장단점 2. 학창시절 및 경력사항 3. 지원부문에 대한 전공내용 4. 지원동기 및 입사 후 포부
  • 가격 2,500원
  • 등록일 2009.07.17
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
설계 도면해석 능력을 길러왔습니다. 또한, P&ID를 이용하여 밸브 위치파악, 측정 셋팅값 확인, 컨트롤 밸브의 Action 등 공정흐름 파악하는 역량을 길렀습니다. 하지만 시간이 지날수록 제 업무 범위가 ----<중략입니다>--- 2.1.2 직무를 위해
  • 가격 4,500원
  • 등록일 2023.10.09
  • 파일종류 아크로벳(pdf)
  • 직종구분 산업, 과학, 기술직
top