볼베어링 마찰을 이용한 완강기 설계 발표자료
본 자료는 미리보기를 지원하지 않습니다.
닫기
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
  • 9
  • 10
  • 11
해당 자료는 3페이지 까지만 미리보기를 제공합니다.
3페이지 이후부터 다운로드 후 확인할 수 있습니다.

소개글

볼베어링 마찰을 이용한 완강기 설계 발표자료에 대한 보고서 자료입니다.

목차

연구목적

완강기의 정의
- 문제점과 개선방향

설계
- Solid Edge를 이용한 3차원 모델링

제작

고찰

본문내용

지지대에 걸어서 사용자의 몸무게에 의하여 자동적으로 내려올 수 있는 기구중 사용자가 교대하여 연속적으로 사용할 수 있는 것을 말한다.

완강기의 강하 속도는 16cm/s ~150cm/s 이내 이다.


완강기의 형식승인및검정기술기준(KOFEIS 0403)
최대하중 : 사용자 수 *3900 N
벨트의 최대 인장강도 : 6500 N
허용 낙하속도 : 16 ㎝/s 이상 150 ㎝/s 미만
사용환경 :  -20 ℃ ~ 50 ℃
설치 및 사용이 용이하고 외부 이물질로부터 차폐될 것.

키워드

  • 가격2,000
  • 페이지수11페이지
  • 등록일2008.12.15
  • 저작시기2008.12
  • 파일형식압축파일(zip)
  • 자료번호#505519
본 자료는 최근 2주간 다운받은 회원이 없습니다.
청소해
다운로드 장바구니