• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 160건

Signal transduction Ⅱ. 본 론 1. 종 류 2. Components of signal transduction pathway 3. P53 tumor suppressor 4. Examples of signal transduction pathway 5. T-세포의 신호전달 6. T세포 항원 수용체를 통한 활성화 신호전달 7. Interleukin-2 수용체를 통한 증식 신호전달 8. P
  • 페이지 66페이지
  • 가격 3,000원
  • 등록일 2004.08.16
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
종류에 해당하는 수용체를 수천 개씩 가지고 있기 때문에 하나의 세포가 다양한 외부 자극에 대하여 동시에 반응할 수 있게 된다. 이렇게 신호의 동시 작용은 이들 신호의 단순한 총합 이상의 효과를 나타내기 때문에 서로 다른 신호의 상호
  • 페이지 9페이지
  • 가격 1,500원
  • 등록일 2015.09.23
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
signal transduction)에 대하여 알아보았다. 신경 전달물질, 호르몬, 성장인자 같은 세포 밖의 신호가 그들의 특이적인 수용체 에 결합하면, 세포막의 인지질의 분해가 일어난다. 인지질의 분해 산물은 이차전달 물질로써 세포 밖으로부터 전달된
  • 페이지 22페이지
  • 가격 3,300원
  • 등록일 2013.07.05
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
signal transduction)에 대하여 알아보았다. 신경 전달물질, 호르몬, 성장인자 같은 세포 밖의 신호가 그들의 특이적인 수용체 에 결합하면, 세포막의 인지질의 분해가 일어난다. 인지질의 분해 산물은 이차전달 물질로써 세포 밖으로부터 전달된
  • 페이지 24페이지
  • 가격 3,300원
  • 등록일 2012.04.15
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
종류, 기작에 대해 알아보았다. 인간은 아직도 유전자를 밝힐 수는 있지만 인위적으로 유전자를 만들어 내지는 못한다. 하지만 기존의 있던 유전자의 구조와 메커니즘을 파악하여 인위적으로 다른 개체에 주입할 수 있게 되었다. 이는 육종의
  • 페이지 11페이지
  • 가격 3,000원
  • 등록일 2007.01.02
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
종류의 회사들이 생명공학을 지원하고 각자 다른 방법으로 지원하고 있다는 것을 알게 되었다. Bio Job Fair 행사목적 : 바이오산업 구직자 및 구인사업체에 전문인력의 구인·구직편의 제공 행사내용 구인업체 및 기관 부스 전시 : 구인업체 소
  • 페이지 7페이지
  • 가격 1,000원
  • 등록일 2009.03.15
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Signal Transduction Pathway Inhibitors) ② 신생 혈관 생성 억제제 (Angiogenesis Inhibitors) 3) 적합한 간호중재 3. 예방접종은 수동적 예방접종(수동면역)과 능동적 예방접종(능동면역)으로 나뉜다. 수동적 예방접종과 능동적 예방접종을 설명하고, 적용
  • 페이지 11페이지
  • 가격 6,000원
  • 등록일 2023.03.19
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
 1. 서 론 2. 본 론 1) Signal transduction 2) 신호전달 체계를 통한 발달 3) T 세포의 신호전달(Signal transduction in T cells activation) 4) 신호전달 체계의 이상 5) TGF-β1과 관련된 신호전달체계 6) TNF와 Fas 신호전달과 세포사
  • 페이지 36페이지
  • 가격 1,500원
  • 등록일 2007.05.17
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
signal transduction. Annu Rev. Plant biol, 2003, 54, 469-496 2) Meng, C, and Joanne, C, and Christian, F. Light signal transduction in higher plant. Annu Rev. Genet, 2004, 38, 87-98 3) Ahmad, M and Cashmore, AR. HY4 gene of A.thaliana encodes a protein with characteristics of blue-light photorecepto
  • 페이지 6페이지
  • 가격 2,300원
  • 등록일 2007.07.28
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
signal) Ⅵ. 주식매입과 비대칭정보이론 1. 정보 불균형 문제의 제기 2. 도덕적 위험(moral hazard)과 상반된 선택(adverse selection) Ⅶ. 주식배당의 의의 Ⅷ. 주식배당과 신호가설 1. 유보이익가설(Retained Earnings Hypothesis) 2. 평판가설(Reputation Hypo
  • 페이지 12페이지
  • 가격 6,500원
  • 등록일 2013.07.20
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top