• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

취업자료 5건

VHDL소스를 설계하고 컴파일하는 프로젝트였습니다. 저희는 엘리베이터의 7-SEGMENT를 전자키트에 표출하는 것으로 방향을 잡았습니다. 하지만 팀원과 저는 생소하고 처음 접하는 프로젝트이기에 설계하는 데 어려움이 많았습니다. 1. 지원
  • 가격 3,000원
  • 등록일 2023.02.10
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
VHDL에 대해서 전혀 몰랐을 때, 비터비 디코더를 구현해 보기 위해 몇 날 며칠을 컴퓨터와 같이 동고동락을 한 적도 있습니다. 1. 성장과정 / 성격의 장?단점 - [지구가 폭발하여도 살아 남는 아이] / [시작과 끝을 반드시 만들어가는 강한 추
  • 가격 1,300원
  • 등록일 2007.06.01
  • 파일종류 워드(doc)
  • 직종구분 전문직
VHDL 언어를 배우기 시작했을 때 생각하고 있는 어떤 회로라도 설계할 수 있는 도구를 얻은 듯한 기쁨으로 공부할 수 있었습니다. 그리고 이를 이용하여 각종 간단한 디지털회로에서 복잡한 제어용 회로들을 구현해보고 IEEE에 올라온 최신 논
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
VHDL에 관하여 어느 정도의 기본지식을 습득하고 있습니다. 그리고 현재 정보처리산업기사 자격증을 보유하고 있습니다. 이러한 기본지식을 바탕으로 제가 대학원에 진학하여 구체적으로 알고 싶고 연구하고 싶은 분야는 디지털공학, 물리전
  • 가격 2,000원
  • 등록일 2008.11.20
  • 파일종류 한글(hwp)
  • 직종구분 기타
얻을 수 있었습니다. 그리고 지속적인 영어 공부를 통해서 영어만큼은 모국어처럼 사용하고 싶은 욕심을 채워 나가겠습니다. -----------------------특기 사항 * 영어회화가 가능 * 인터넷 활용, 비주얼 C++, MySQL, VHDL * 스포츠: 축구, 테니스 
  • 가격 1,200원
  • 등록일 2010.03.18
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
이전 1 다음
top