• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 8,346건

339 네이버 지식인, BJT 작동 원리, http://kin.naver.com/qna/detail.nhn?d1id=11&dirId=1118&docId=150345196&qb=Ymp0IOuyoOydtOyKpCDsoITrpZg=&enc=utf8§ion=kin&rank=1&search_sort=0&spq=0&pid=g93czF5Y7uGsstbRaB0ssc--352089&sid=T93Hzkyd3U8AABGUCm4 Harry's_Lab, LED 정격, http://harrys.co.kr/blog/lab/51&n
  • 페이지 7페이지
  • 가격 4,000원
  • 등록일 2015.06.28
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
#9673; 개 요 ◉ 주차 별 설계 진행 과정 ◉ 오류 검토 ◉ 조별 활동 및 임무 분담 ◉ Data sheets ◉ 기타 공구 및 사용 ◉ 최종 회로도 및 Multisim 실험 결과 ◉ 최종 결과물의 동작에 대한 개요 ◉ 제작 후기
  • 페이지 17페이지
  • 가격 2,300원
  • 등록일 2013.08.28
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
3) 생산 제품 조립 가공된 부품들이 도금을 마친 후 케이블에 연결을 한다. 우선 케이블을 원하는 길이를 정한 후 절단을 하여 연결을 한다. 이런 과정에서도 기계공작법의 과정이 사용된다. 3-1) 여러 가지 제품의 조립 [hand press] [air press] 위
  • 페이지 16페이지
  • 가격 8,400원
  • 등록일 2015.05.23
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
개요 2. 이론 2.1 직관 내의 유동손실 2.2 단면적이 급확대 및 급축소하는 관에서의 유동손실 2.3 곡관에서의 유동손실 2.4 밸브에서의 유동손실 2.5 압력 손실 측정 원리 3. 실험 3.1 실험장치 3.2 실험방법 4. 실험 데이터 5. 보고서 작성
  • 페이지 26페이지
  • 가격 1,900원
  • 등록일 2022.09.20
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
3)자기 주도적 학습 (4)학습 성취도 2)부정적인 면 2. 교육용 소프트웨어란? 3. 교수 학습용 소프트웨어평가 필요성 4. 교수학습용 소프트웨어 평가 1)교수학습용 소프트웨어 평가 틀 2)소프트웨어들 소개 3)기본 교육
  • 페이지 10페이지
  • 가격 1,000원
  • 등록일 2008.03.31
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 66건

3.4 절 Timer의 설계 ........................................ 17 3.5 절 음성 녹음 재생기의 설계 ............................ 18 3.6 절 LED방향 표시기의 설계 ..................................... 19 제 4 장 제작 및 결과 ........................................... 20 4.1 절 AC to DC Co
  • 페이지 25페이지
  • 가격 2,000원
  • 발행일 2010.06.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
31 3. 결 과 31 3.1. 구현방식 및 기능설명 31 3.1.1. Host Program 31 3.1.2. 모터구동 부분 31 3.2. 구현결과 32 3.2.1. ATmega128을 이용한 서보모터 구동 회로 제작 32 3.2.2. Servo motor 구동 pulse 32 3.2.3. Host program의 인터페이스 33 3.2.4. Host program 실행결과 3
  • 페이지 38페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자
36 2.2.2.1 컨트롤러 Delay 함수 36 2.2.2.2 컨트롤러 Main 37 2.2.2.3 컨트롤러 UART Main 39 2.2.2.4 컨트롤러 UART 함수 40 2.3 실험 및 결과 40 2.3.1 하드웨어 동작 결과 36 2.3.2 하드웨어 제작 결과 36 제 3
  • 페이지 57페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
공학 (홍릉과학출판사, 2005) (7) Kenneth Krane 저, 현대물리학 (汎韓書籍, 1998) (8) 리차드 바이스 저, 빛의 역사 (끌리오, 1999) 1. 서론 2. 빛과 전자 3. 빛의 양자적 특성 4. 홀로그래피 5. LED 6. 디스플레이 7. 결론 8. 참고 문헌
  • 페이지 59페이지
  • 가격 3,000원
  • 발행일 2007.10.10
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
제스처 인식 12 1. 인식을 위한 손 영역의 정규화 12 2. 손의 중심 찾기 12 3. 인식을 위한 손가락의 개수를 추출 13 Ⅳ. 실험 및 결과 15 1. 전체 시스템의 구조 15 2. 실험 결과 18 Ⅴ. 결 론 22 참고문헌 23
  • 페이지 23페이지
  • 가격 5,000원
  • 발행일 2009.01.28
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 83건

3 - 09. 15 2012 직무중심 취업 전략 캠프 수 료 경진대회 입상 내역 수 상 명 수상 시기 수상 기관 수상 내역 우 수 상 2011.12.29 강원대학교 교수학습개발원 학습보조자료 제작 공모전 경진대회 입상 내역 수 상 명 수상 시기 수상 기관 수상 내역
  • 가격 3,500원
  • 등록일 2014.03.26
  • 파일종류 한글(hwp)
  • 직종구분 전문직
결과에 대해 최대한 구체적으로 작성해 주십시오 3. 자신에게 요구된 것보다 더 높은 목표를 스스로 세워 시도했던 경험 중 가장 기억에 남는 것은 무엇입니까? 목표 달성 과정에서 아쉬웠던 점이나 그 때 느꼈던 자신의 한계는 무엇이고,
  • 가격 3,000원
  • 등록일 2023.02.09
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
3가지는 무엇입니까? 26. 이직을 결심한 이유가 무엇인가요? 27. 나이가 많은 것 같은데 어린 상사와 지낼 수 있나요? 28. 본인은 리더인가요? 팔로워인가요? 29. 결과와 과정 중 어느 것이 더 중요하다고 생각하나요? 30. 회사를 선택하는 기준
  • 가격 10,000원
  • 등록일 2024.07.26
  • 파일종류 한글(hwp)
  • 직종구분 기타
32-Entry 보드를 이용한 SW개발환경 구축, 코드 생성 및 빌드 결과물에 대한 개념 이해를 하였으며 IDE 상에서 장비를 이용한 다운로드 및 디버깅 실습을 통해 MCU를 이용한 디바이스 제어방법을 이해할 수 있었습니다. 또한, 전기자격증을 취득하
  • 가격 3,500원
  • 등록일 2021.07.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
32-Entry 보드를 이용한 SW개발환경 구축, 코드 생성 및 빌드 결과물에 대한 개념 이해를 하였으며 IDE 상에서 장비를 이용한 다운로드 및 디버깅 실습을 통해 MCU를 이용한 디바이스 제어방법을 이해할 수 있었습니다. 또한, 전기자격증을 취득하
  • 가격 3,500원
  • 등록일 2021.07.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
top