• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 8,324건

전압을 계산해보면 V = IR 에서 전류 I는 병렬회로에서 이 므로 9mA +4mA + 2mA = 15mA이다. ()이므로 실험에 사용했던 1K, 2.2K 4.7K의 병렬 회로의 합성저항값은 이다. 따라서 V = IR의 수식에 대입해보면 V =15mA × 600Ω 즉, 9V로 계산되었다. 과정9에서 측정
  • 페이지 4페이지
  • 가격 1,300원
  • 등록일 2014.06.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
실험할 때, 전선의 저항이나, 전류측정 문제, 저항의 오차 등에 의한 결과값의 오차가 아쉬웠다. 그래도 실험을 통해 전기회로 관련된 지식을 습득할 수 있는 좋은 기회였다. 저항 직•병렬 회로설계 및 휘스톤브릿지 회로 1.실험 목
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2013.01.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
저항기의 직렬 연결에 대한 일반화된 수식을 쓴다. ③ 지정된 조건을 만족하는 직렬 회로 설계 실험 1. 사용할 저항들을 여러 가지로 조합하여 등가 저항의 저항값을 측정한다. 2. 10V 전원에 의해 5mA의 전류가 흐르는 직렬회로를 설계한다. R1 ~
  • 페이지 9페이지
  • 가격 800원
  • 등록일 2011.10.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
실험 19. 전압분할 및 전류분할 회로 설계 1. 실험 목적 (1) 지정된 전압, 전류 조건을 만족하는 전압 분할기를 설계한다. (2) 지정된 전압, 전류 조건을 만족하는 전류 분할기를 설계한다. (3) 회로를 구성하고 실험하여 설계조건에 맞는 지 확인
  • 페이지 13페이지
  • 가격 2,000원
  • 등록일 2010.12.27
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
및 실험 기기 1) OS : Windows XP 2) 회로 Simulation : OrCAD 10.5 3) Presentation : Power Point 2007 4) 보고서 작성 : 한글 2007 5) 디지털 테스터기, 오실로스코프, 전원 공급 장치, 인두기 1. 과제 필요성 2. 주요 부품 개요 3. 개념설계 및 상세설계 4. 기대
  • 페이지 14페이지
  • 가격 3,300원
  • 등록일 2012.03.14
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 39건

기본개념과 동작원리 5. Chage Pump (전하펌프)의 기본개념과 동작원리 6. VCO (전압제어 발진기) 기본개념과 동작원리 7. VCDL (전압제어 지연단) 기본개념과 동작원리 8. DLL 구현 및 시물레이션 9. 결론 ♦ 참고문헌
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
-1] 각각의 장소에 센서 부착 ................................ 8 [그림 2-1] 다이오드의 전류흐름과 공핍층(depletion layer) ........ 10 [그림 2-2] p-n 다이오드의 전류-전압(I-V) 특성 ................. 11 [그림 2-3] LED 기본회로와 밴드이론에 의한 발광현상 ..........
  • 페이지 25페이지
  • 가격 2,000원
  • 발행일 2010.06.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
as a plug-in type. 단일 전류센서를 갖는 단상 반브릿지 능동전력필터 1. 서론 2. 능동전력필터의 원리 3. 단일 전류센서 능동전력필터 4. 시뮬레이션 모형과 결과 5. 프로토타입 제작 및 실험 6. 결과 참고문헌 Abstract
  • 페이지 17페이지
  • 가격 10,000원
  • 발행일 2009.04.17
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
기초자료-------------------- 1) 부품별 기초 자료 2) 기능별 기초 자료 Ⅲ. 작품기능 시스템 구성 및 내역-------------------------- 1) 흐름도 2) 각 기능별 회로도 1. Robot System 회로도 2. Receiver System 회로도 3. AVR ISP Programmer 회로도 Ⅳ. 실험 1.Robo
  • 페이지 50페이지
  • 가격 20,000원
  • 발행일 2010.02.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
-스텝 제어 3.3.1 6-스텝 커뮤테이션(Six Step Commutation) 3.3.1.1 6-스텝 커뮤테이션의 이해 3.3.1.2 홀-센서 피드백 6-스텝 커뮤테이션의 개요 4. BLDC 모터의 제어 4.1 BLDC 모터의 구성 4.2 BLDC 모터의 모델링 4.3 PWM 구현 방식 4.4 PI 전류제어기
  • 페이지 54페이지
  • 가격 9,000원
  • 발행일 2009.01.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 113건

전류계, 전압계, 멀티미터 등을 활용하여 각종 회로의 전압, 전류 등의 측정 방법에 대하여 습득한다. 옴의 법칙에 대하여 실험적으로 확인하고, 원하는 전압 및 전류를 얻는 직렬회로 및 병렬회로을 설계한다. 망로전류를 이용한 회로 해석,
  • 가격 4,500원
  • 등록일 2021.11.08
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
설계 경진대회 경진대회 입상 내역 수 상 명 수상 시기 수상 기관 수상 내역 은 상 2012.12.12 한국 조명·전기설비학회 조명·전기설비 캡스톤 디자인 경진대회 경진대회 입상 내역 수 상 명 수상 시기 수상 기관 수상 내역 은 상 2013.09.12 강원대
  • 가격 3,500원
  • 등록일 2014.03.26
  • 파일종류 한글(hwp)
  • 직종구분 전문직
전기특성, 일차 및 이차회로의 해석을 위한 미분방정식을 세우고 회로를 분석하는 방법을 배웠습니다. 전기회로2 전기회로1때 DC를 배웠다면 전기회로2에는 AC에대해서 배웠습니다. 직류때와 같이 교류회로에서도 각각의 전압 및 전류등 기본
  • 가격 1,800원
  • 등록일 2012.05.29
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
회로를 구성하고 회로를 설계한 경험이 있습니다. 당시 조직원들과 함께 협력하여 회로망을 해석하고 설계제작에 이바지...(이하생략) 자기소개서 1. 귀하가 동서발전에 지원하게 된 계기는 무엇입니까? 가) 우리 회사에 관심과 흥미
  • 가격 2,200원
  • 등록일 2020.08.19
  • 파일종류 아크로벳(pdf)
  • 직종구분 산업, 과학, 기술직
전기설계를 이해하고 실습과 실험을 병행했습니다...(이하생략) ▶ 자신이 원하는 조직에서 요구하는 자질을 지니기 위해 노력했던 경험과 어떤 결과를 얻었는지 기술하여 주십시오. ▶ 공단 입사 후 실천하고 싶은 목표 및 추진계획을
  • 가격 2,200원
  • 등록일 2019.09.18
  • 파일종류 아크로벳(pdf)
  • 직종구분 산업, 과학, 기술직
top