|
<="1101"; wait;
end process;
end;
7. 시뮬레이션 결과
① 입력모드 확인
② 수정모드 확인
③ 알람 확인 1
④ 알람 확인 2 1. 도어락의 개요
2. 입력, 출력 및 상태
3. 동작원리
4. 다이어그램
5 소스 코드
6. Test Banch 코드
7. 시뮬레이션 결과
|
- 페이지 13페이지
- 가격 2,000원
- 등록일 2014.02.25
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
f door_lock_mod_tb is
component door_lock
port ( clk : in std_logic;
rst : in std_logic;
ps_start : in std_logic;
ps_end : in std_logic;
ps_mod : in std_logic;
ps_num : in std_logic_vector (3 downto 0);
door_open : out std_logic;
alarm : out std_logic );
end component;
signal clk : std_logic;
signa
|
- 페이지 13페이지
- 가격 2,300원
- 등록일 2014.03.27
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
도어락 비밀번호 설정 모드 , 디지털 시계모드 , 디지털 시계설정모드, 알람모드, 그리고 다른 모드들과 동시에 작동하는 음소거 모드가 있다. 모드는 bus switch로 연결되어 bus switch값이 1이 되면 해당 모드가 동작한다.
단 음소거 모드는 다른
|
- 페이지 200페이지
- 가격 3,000원
- 등록일 2011.06.03
- 파일종류 압축파일
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
회로를 처음 접한 2학기 역시 지식과 응용이 부족했지만 1학기에 멀티심, 로직웍스를 교수님들을 통해 접해본 것처럼 이번에는 조교들을 통해 pspice를 응용함으로서 직접 amp를 설계해보고 시뮬레이션도 할 수 있었다, mic mixer amp를 구현하는데
|
- 페이지 9페이지
- 가격 1,400원
- 등록일 2017.06.28
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
회로도
(1)3진수 회로
(2)10진수 회로
(3) 최종 결과 회로
3.설계결과
10진수(3진수)
출력파형
ON
1(001)
2(002)
3(010)
4(011)
5(012)
6(020)
7(021)
8(022)
9(100)
4. TROUBLE SHOOTING
문제점
해결방안
회로의 복잡함으로 인한 가격,실용성 문제
☞ 더 간단한 회로를 구성
|
- 페이지 9페이지
- 가격 2,300원
- 등록일 2012.09.26
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|