• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 276건

 1. 목적 2. 이론  가. 디코더  나. 인코더  다. 멀티플렉서  라. 디멀티플렉서 3. 예비보고 4. 실험(회로도)
  • 페이지 11페이지
  • 가격 2,300원
  • 등록일 2014.03.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Reference 1. http://princess.kongju.ac.kr/DigitalMain/framekor.htm 2. 디지털논리와 컴퓨터설계, 황희융, 1986 3. 디지털전자회로, 탑출판사, 1982 4. 디지털논리설계기초, 에드텍, 1994 ○ 디코더(Decoder) ○ 7세그먼트 ○ 인코더(Encoder) ○ 다중화기 ○ Reference
  • 페이지 6페이지
  • 가격 1,000원
  • 등록일 2011.05.02
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
, 1996.2 4. 디지털전자회로, 김기남 저, 네트웍텔레콤 정보기술원, 1998.2 5. 집적회로, 이영훈 저, 상학당, 2002.9 6. 전자회로, 최성재외 공저, 상학당, 2000.1 1. 실험목적 2. 실험이론 ■ 디코더(Decoder) ■ 인코더(Encoder) 3. 실험내용 4. 참고자료
  • 페이지 7페이지
  • 가격 2,500원
  • 등록일 2004.07.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
존재하는 1의 개수는 짝수, 0이면 데이터 선에 존재하는 1의 개수가 홀수이다. 1. 디코더(decoder) 2. 인코더(encoder) 3. 우선순위 인코더 4. 멀티플렉서 5. 가산기(adder) 1) 반가산기(half adder) 2) 전가산기(full adder) 6. 패리티 발생기
  • 페이지 7페이지
  • 가격 3,000원
  • 등록일 2009.06.03
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
REPORT <2x4 디코더, 4x2 인코더> 1. 2x4 디코더(복호기) entity decoder2x4 is port(A : in std_logic_vector(1 downto 0); (Y : out std_logic_vector(3 downto 0)); end decoder2x4; architecture Behavioral of decoder2x4 is begin process(A) begin case A is when "00" => Y <= "0001"; when "01" =>
  • 페이지 9페이지
  • 가격 3,000원
  • 등록일 2011.06.22
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디지털회로실험및설계 예비 보고서 #8 ( Encoder, Decoder 실험 ) 과 목 담당교수 제 출 일 학 번 이 름 1. 실험목표 ① 인코더의 회로 구성과 동작을 실험한다. ② 디코더의 회로 구성과 동작을 실험한다. 2. 관련이론 인코더는 여러 개의 입력 중에
  • 페이지 12페이지
  • 가격 3,000원
  • 등록일 2023.09.22
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디코더와 인코더의 동작 원리,특성을 확인 구성 방법들을 익히는 것이다. 우선, 디코더란 2진 부호와 같은 BCD 코드를 부호가 없는 형태로 바꾼는 변환회로를 말한다. 그리고 인코더란 부호화되지 않은 입력을 받아서 부호화된 출력으로 내보
  • 페이지 5페이지
  • 가격 700원
  • 등록일 2004.03.04
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Decoder와 반대기능 D3 D2 D1 D0 B A 10진수 0 0 0 1 0 0 0 0 0 1 0 0 1 1 0 1 0 0 1 0 2 1 0 0 0 1 1 3 ⅲ. 7-세그먼트 표시기(Seven Segment Indicator) - 디지털 신호에 발광하는 LED가 7개의 세그먼트(선분)에 사용되는 디스플레이로 숫자 표현 - BCD-7 세그먼트 디코더 : BCD에
  • 페이지 8페이지
  • 가격 6,300원
  • 등록일 2015.11.10
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Decoder를 직접 코드화 해보고 Simulation 해서 그 결과 값(그래프를 통해)을 직접 확인해 보는 실험이었다. 그렇게 어렵지 않으면서도 디코더의 동작을 쉽게 이해할 수 있게 해주는 좋은 실험이었던 것 같다. 3개의 입력이 들어갔을 때 8개의 출력
  • 페이지 7페이지
  • 가격 1,000원
  • 등록일 2005.10.12
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
ystem 보다 열등한 성능을 보여주었다. 하지만 3.7dB 이상에서는 viterbi decoder가 오류정정 능력을 발휘하면서 system의 성능 향상이 두드러지게 나타났다. 또한, 본 시뮬레이션에서는 soft decision의 경우만 보았지만, hard decision을 했을 때와의 성능을
  • 페이지 5페이지
  • 가격 500원
  • 등록일 2008.03.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top