|
복호기를 구성하였고 내부 소스를 보면 단계적으로 복호화하는 과정을 확인해 볼 수 있다. 다만, 부호기나 복호기에는 역dct, 역 양자화 과정을 다루고 모션 벡터를 통한 P프레임 복원을 다루며, 무손실압축에 해당되는 부분은 제외시켰다. 그
|
- 페이지 70페이지
- 가격 4,000원
- 등록일 2008.10.20
- 파일종류 기타
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
복호기 분배 기법, 서울대학교
○ 호요성(1997), MPEG-4 동영상 압축기술의 표준화 동향, 한국정보통신기술협회 Ⅰ. 서론
Ⅱ. 동영상압축(영상압축)의 정의
Ⅲ. 동영상압축(영상압축)과 동영상파일압축기술 MPEG(엠펙)
1. MPEG-1
2. MPEG-2
3. MP
|
- 페이지 7페이지
- 가격 6,500원
- 등록일 2013.07.18
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
복호기의 지터제거 및 동기화에 관한연구", 전자통신연구원 위탁 연구 보고서, 1995.
[10] "ATM 교환망에서 VBR 트래픽 클럭복원을 위한 트래픽 특성 연구", 전자통신연구원 위탁 연구 보고서, 1997. 목 차
1. SRTS 개념 및 원리 2
2. SRTS 구현
|
- 페이지 10페이지
- 가격 1,300원
- 등록일 2004.11.29
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
REPORT
<2x4 디코더, 4x2 인코더>
1. 2x4 디코더(복호기)
entity decoder2x4 is
port(A : in std_logic_vector(1 downto 0);
(Y : out std_logic_vector(3 downto 0));
end decoder2x4;
architecture Behavioral of decoder2x4 is
begin
process(A)
begin
case A is
when "00" => Y <= "0001";
when "01" =>
|
- 페이지 9페이지
- 가격 3,000원
- 등록일 2011.06.22
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
복호기를 통과하기 전에 1or -1 이 결정되어 버려서 0에 가까운 양의값을 무조건 1로 0에 가까운 음의값을 무조건-1로 처리하게 되어서 복호기 통과이전에 이미 거리에 대한 오류확률을 어느 정도 안고 들어가게 된다. 하지만 soft decision은 수신
|
- 페이지 5페이지
- 가격 500원
- 등록일 2008.03.16
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|