|
프로그램
library ieee;
use ieee.std_logic_1164.all;
entity tri_buf is port(
e : in std_logic;
d : in std_logic_vector(7 downto 0);
y : out std_logic_vector(7 downto 0));
end tri_buf;
architecture behavioral of tri_buf is
begin
process(e,d)
begin
if( e =\'1\') then -- 1 이면 pass
y <= d;
else
y &l
|
- 페이지 18페이지
- 가격 13,860원
- 등록일 2012.12.04
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
전달하고 마법의 사탕 뽑기를 통해 평화로운 반을 만들기 위한 나의 행동 다짐하기
본 프로그램은 통합교과 시간이나 다른 교과 시간에 관련 제제와 연관 지어 진행하는 것보다 창의적 체험활동 시간에 학교폭력 예방교육으로 4시간을 할애
|
- 페이지 16페이지
- 가격 4,000원
- 등록일 2016.09.25
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
전달(message-passing)로 문제해결한다.
행위자 이론(actor-theory) 이론적 배경이다.
2. simula67
객체라는 개념을 사용한데서 유래되었다.
전체 프로그램을 여러 객체들의 집합으로 정의한다.
객체들의 공통적인 특성을 묶을 수 있는 클래스라는 개념도
|
- 페이지 10페이지
- 가격 6,500원
- 등록일 2013.07.25
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
전달 시스템인 PASS를 도입한 바 있지만 그 천문학적 예산 때문에 섣불리 접근하기가 어려웠다. 그러나 연세의료원은 최근 가격대비 PC성능의 향상과 고속 통신장치, 대용량 저장장치의 등장으로 PACS의 가격이 저렴해질 것이라는 전망과 함께 P
|
- 페이지 14페이지
- 가격 1,500원
- 등록일 2007.04.06
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
프로그램을 보강계획(reinforcement schedule)
) http://www.fmdc.calpoly.edu/libarts/cslem/Wizdemo/6-ChapterB.html
이라 한다. 강화계획에는 강도계획(Ratio Schedules - the number responses emitted before a response is reinforced)과 간격계획(Interval Schedules - the length of time which passes b
|
- 페이지 10페이지
- 가격 1,200원
- 등록일 2004.10.01
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|