|
ALU는 AND, OR, XOR, NOT의 연산이 가능한 여러개의 논리모듈과 레지스터로 구성하였다. 1. ALU 설계도
2. microprogram
3. Control Unit block-diagram
4. 각종 register 및 내부 버스를 포함한 CPU block-diagram
5. 명령어 list (각 명령어 별 bit-format 포함)
6. 설계한
|
- 페이지 6페이지
- 가격 2,500원
- 등록일 2010.03.10
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
ALU
(2) 동기
(3) 목적
(4) 연구 접근 방법
4-1) 1-bit Adder
4-2) 1-bit ALU
4-3) Subtraction 추가
4-4) 최종 ALU
2장 - 관련연구
3장 - Design
(1) 설계 단계
(2) 단계별 구현
2-1) Adder, AND, OR
2-2) Adder, And, OR, Subtraction, Less
2-3) MSB
|
- 페이지 19페이지
- 가격 2,000원
- 등록일 2009.05.11
- 파일종류 압축파일
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
프로그램
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity reg_alu is port(
clock : in std_logic;
input : in std_logic_vector(7 downto 0);
ie, we : in std_logic;
WA : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
RAE : IN STD_LOGIC;
RAA : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
RBE : IN
|
- 페이지 18페이지
- 가격 13,860원
- 등록일 2012.12.04
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
ALU, arithmetic & logic unit)는 프로그램의 명령에 따라 덧셈, 뺄셈, 곱셈, 나눗셈 등의 산술 연산과 두 수의 크기를 비교, 판단하는 논리 연산을 수행하는 요소들로 구성된다.
1-2) 논리회로
ALU 설계에 사용하게 될 논리회로들이다.
AND
논리곱(AND)
|
- 페이지 30페이지
- 가격 3,000원
- 등록일 2009.05.10
- 파일종류 압축파일
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
= 0110, C = x => F = A xor B = 10101100
12) S = 0111, C = x => F = A\' = 01110111
>시프트연산
13) S = 10xx, C = x => F = shr A = 00010001
14) S = 11xx, C = x => F = shl A = 01000100 ◉ALU(arithmetic-logic unit)란?
◉ALU의 구조
◉레지스터의 구조
◉ORCAD 설계
|
- 페이지 18페이지
- 가격 8,400원
- 등록일 2015.11.17
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|