• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 94,257건

컴퓨터를 연결하여 사용할 수 있으므로 통신 비용이 크게 절감된다. 1-3) 32-bit ALU 32-bit ALU는 1-bit ALU 31개와 MSB ALU 한 개의 연결로 구성이 된다. 32-bit ALU 는 32개의binary 값으로 1장 – Introduction (1) 연구분야 1-1) CPU의 기본 구조 1-
  • 페이지 30페이지
  • 가격 3,000원
  • 등록일 2009.05.10
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
1-1) CPU의 기본 구조 1-2) 논리회로 표기 1-3) 1-bit ALU (2) 동기 (3) 목적 (4) 연구 접근 방법 4-1) 1-bit Adder 4-2) 1-bit ALU 4-3) Subtraction 추가 4-4) 최종 ALU 2장 - 관련연구 3장 - Design (1) 설계 단계 (2) 단계별 구현 2-1) Adder,
  • 페이지 19페이지
  • 가격 2,000원
  • 등록일 2009.05.11
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
1-bit 연산에 대해 이해를 한다면, 결국 복잡한 구조를 지니게 될 현재의 컴퓨터들도 쉽게 이해 할 수 있을 것이다. 1. 서론 a. 연구분야 b. 동기 c. 목적 d. 연구 접근 방법 2. 관련연구 - Carry - ALU 3. 본론 - Flow Chart 4. 구현 & 모의실
  • 페이지 8페이지
  • 가격 1,000원
  • 등록일 2009.05.11
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
통한 사진 보정 등에 사용한다. 3.개발 환경 A.OS : Window 7 32bit Ultimate K B.CPU : Intel? Core™2 Duo CPU T8300 2.4GHz C.RAM : 2 GB D.Tools : Visual Studio 2008 E.Library : OpenCV 1.0 1. 프로글매 개요 2. 관련 연구 3. 개발환경 4. 구현 5. 실행화면 6. 소스코드
  • 페이지 15페이지
  • 가격 2,000원
  • 등록일 2011.03.18
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
CPU(중앙처리장치)의 종류 1. 처리 용량에 따른 구분 1) 8비트 2) 16비트 3) 32비트 4) 64비트 2. 처리 방식에 따른 구분 1) CISC(Complex Instruction Set Computer) 2) RISC(Reduced Instruction Set Computer) 3) SIMD(Single Instruction Multiple Data) 4) VLIW(Very Long Instruc
  • 페이지 15페이지
  • 가격 6,500원
  • 등록일 2009.03.20
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 716건

1999, pp9~10 [7] 임승철, 용대중, 유연한 수평 다관절 로봇의 진동제어, 한국소음진동공학학회지 7권 제 3호, 1997, pp 387~392 1. 서 론 2. 시스템 구성 및 설계 2.1 시스템의 동작 2.2 시스템 구성도 2.2.1 마이크로프로세서 구동회로 2.2.2 센서와
  • 페이지 7페이지
  • 가격 2,500원
  • 발행일 2010.02.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계 3장. 3절. 1 순서도 3장. 3절. 2 MCU(Atmega128)핵심코드(타이머/인터럽트 설정) 3장. 3절. 3 MCU (Atmega128)핵심코드(UART 설정) 3장. 3절. 4 이벤트 생성 3장. 3절. 5 KEY PAD 3장. 3절. 6 타이머 처리 3장. 3절. 7 직렬통신 4장. 결론 및 기대효
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
1. I/O Module Ⅲ-2. Ping-Pong Buffer Ⅳ. Filter 설계 Ⅳ-1. Low Pass Filter Ⅳ-2. LPF Code Ⅳ-3. Band Pass Filter Ⅳ-4. BPF Code V. DSB-SC의 시스템 설계 Ⅴ-1. DSB-SC Modulation Ⅴ-2. DSB-SC Demodulation Ⅴ-3. Carrier 선정 Ⅵ. 결과 및 분석 Ⅵ-1. 구현 Code Ⅵ-2.
  • 페이지 21페이지
  • 가격 6,500원
  • 발행일 2009.01.02
  • 파일종류 압축파일
  • 발행기관
  • 저자
1 Kernel Patch 및 환경설정 3.2.2 Master Server IP 설정 3.3 Real Server 설정 37 3.4 LVS 클러스터의 기동 및 동작 확인 3.5 NFS 설정(File server 설정) 4. 홈페이지 구축 4.1 선행연구(기존 학급 웹서버 검토) 4.2 YT-스쿨 자료실 설계 및 구현 4.2.1 시
  • 페이지 62페이지
  • 가격 5,000원
  • 발행일 2010.01.14
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
및 외부 불법 침입자 감시 기능을 한다. 또한 화재 발생 시 알람기능을 가지고 간단한 물체를 이동시킬 수 있다. 참고문헌 1. 관련자료 11.1 ST Microelectronics Homepage(www.st.com) 11.2 STM32F103x8/xB Data Manual 11.3 STM32F103x8/xB User Manual(UM0427) 11.4 STM32F103x8/xB Ref
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 1,388건

게임 개발을 하였습니다. 3. 성격의 장단점 저의 장점은 '경청하는 자세'입니다. 22살 당시, 4. 경력 및 경험, 특기사항 고등학교 시절부터 주유소에서 아르바이트를 시작하였습니다. 5. 입사 후 포부 "기본"에 충실하겠습니다.
  • 가격 2,000원
  • 등록일 2015.01.14
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
10. 남들에게 없는 자신만의 강점이 있나요? (직무 관심도) 11. 컴퓨터 활용능력 수준이 어느 정도인가요? (직무 관심도) 12. 감명 깊게 읽은 책이 있나요? (기본 인성 질문) 13. 학교를 졸업하고 (또는 퇴사 후) 공백기간에 어떤 노력을 했습니까?
  • 가격 9,000원
  • 등록일 2023.12.13
  • 파일종류 한글(hwp)
  • 직종구분 기타
1. CS 기반과 웹기반의 차이점은? 52. Interface란? 53. Abstract 란? 54. Interface vs. Abstract Class 용도는? 55. overloading과 overriding의 차이점 56. Inner Class란? 용도는? 57. Reflection이란? 58. J2ME vs. J2SE/J2EE 차이점 및 특징? 59. MVC model이란? 60. Call by reference, C
  • 가격 2,000원
  • 등록일 2019.11.14
  • 파일종류 한글(hwp)
  • 직종구분 기타
기본설계, 응용선박설계(선박설계특강), 부유체안정론, 선체구조역학, 구조해석, (2)질문의 빈도수가 중간 정도인 과목 : 선박동력장치, 선박건조공학, 선박의장, 선체진동론, 선체저항론, 해양공학개론, 선박운동론, 컴퓨터 관련 과목 (3)질문
  • 가격 1,300원
  • 등록일 2012.06.10
  • 파일종류 한글(hwp)
  • 직종구분 기타
및 좋은 인간관계를 맺어 회사내의 제일 중요한 커뮤니케이션, 즉 의사소통을 잘 할 수 있으리라 생각합니다. 저는 시스템개발과 관련 하여 저의 잠재역량과 직무능력을 개발하고 혁신, 도전, 열정을 마음껏 펼치고 싶어 지원하게 되었습니다
  • 가격 1,900원
  • 등록일 2015.09.12
  • 파일종류 워드(doc)
  • 직종구분 IT, 정보통신
top