• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 5,857건

처리 속도는 CPU의 속도에 의하여 결정된다 (실제 속도는 시스템 내 다른 요소들의 영향을 받아서 약간 더 낮아지게 된다). 1장 - Introduction (1) 연구분야 1-1) CPU의 기본 구조 1-2) 논리회로 표기 1-3) 1-bit ALU (2) 동기 (3) 목적 (4)
  • 페이지 19페이지
  • 가격 2,000원
  • 등록일 2009.05.11
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
ALU 32-bit ALU는 1-bit ALU 31개와 MSB ALU 한 개의 연결로 구성이 된다. 32-bit ALU 는 32개의binary 값으로 1장 – Introduction (1) 연구분야 1-1) CPU의 기본 구조 1-2) 논리회로 표기 1-3) 32-bit ALU 1-4) Booth’s Algorithm (2) 동기 (3) 목적
  • 페이지 30페이지
  • 가격 3,000원
  • 등록일 2009.05.10
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
구조론 이강현, 컴퓨터구조 설계(VHDL코딩을 중심으로), 대영사 이재범·남기찬(1999), 정보기술과 PC활용, 법문사 조순복·김광희, 8086/8088 마이크로프로세서와 그 주면 소자들, 집문당 Ⅰ. 개요 Ⅱ. CPU(중앙처리장치)의 개념 Ⅲ. CPU(중앙처
  • 페이지 15페이지
  • 가격 6,500원
  • 등록일 2009.03.20
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
못하면 비로소 메인 메모리에 접근한다. 펜티엄Ⅲ의 2차 캐시는 CPU 클럭의 반(Half-Speed)으로 동작하며, 512KB의 용량이다." ■CPU의 일반적 구조 ① 방열판, 쿨링팬 ② 1차 캐시 ③ ALU, CU ④ 패키징과 인터페이스 ⑤ 2차 캐시
  • 페이지 2페이지
  • 가격 800원
  • 등록일 2007.04.12
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
구조 4.3 마이크로 명령어의 형식 4.4 마이크로 프로그래밍 4.4.1 인출사이클 루틴 4.4.2 간접사이클 루틴 4.4.3 실행사이클 루틴 4.5마이크로 프로그램의 순서제어 제 5장 기억장치 5.1 기억장치 시스템의 특성들 5.2 기억장치 계층 5.3 반도
  • 페이지 94페이지
  • 가격 3,000원
  • 등록일 2004.04.17
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 43건

구조, 네트워크" [8]유시룡(1997), " MPEG 시스템", 大英社 [9]유헉, "응용 프로그램과 상호 협조하는 멀티미디어 스케줄링" [10]인천대학교 정보통신공학과 네트워크 연구실(2005), "OPNET 9.1, Computer Networks- A Systems Approach 3rd Ed, Lab Manual " Ⅰ 서론
  • 페이지 19페이지
  • 가격 3,500원
  • 발행일 2009.06.21
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
구조조정과 노사관계”, 한국노동연구원, 2005 김성순, “비정규직의 정규직 전환에 따른 문제점과 개선방안에 관한 연구”, 전북대학교 법무대학원 석사학위논문, 2009 김수복, “비정규직노동법”, (주)중앙경제, 2008 김유선, “2008년 비정규
  • 페이지 30페이지
  • 가격 4,000원
  • 발행일 2011.12.23
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
2.2 AVR; 영상처리를 통한 data를 입력받아 조향장치인 서보 모터와 동력장치인 DC모터를 제어하기 위한 PWM을 만들어준다. 2.2.1 AVR의 특징 -AVR 은 Alf(Bogen) Vergard(Wollen) Risc 의 약자로서 ATMEL사에서 제작된 RISC 구조의 MPU 이다. 비교적 저렴한 가격
  • 페이지 67페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자
구조 조사", 1999. 황수경, "근로시간 단축 및 휴일·휴가제도 개선에 따른 비용·편익 분석", 노동연구원 보도자료, 2002.3.22. 허장·문순철·송미령, "농촌 쓰레기 관리의 현황과 개선방향", 한국농촌경제연구원, 1999.12. 제1장 서론 1 제1절 연
  • 페이지 32페이지
  • 가격 4,000원
  • 발행일 2004.12.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
구조 고도화를 위한 방향과 과제"「시정연구」통권 제21호, 2001, pp.375~404 11. 한성안, "개방 경제하의 지역혁신체제 모형 연구", 「경제학 연구」제50집 제3호, 2002, pp.5~58 12. 홍성범 임덕순 이명진 이정협 장승권, 「해외 신흥 혁신클러스터의 특
  • 페이지 20페이지
  • 가격 4,000원
  • 발행일 2005.01.25
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 17건

CPU 시리즈이다. 보통 서버의 프로세스 성능을 이야기 할 때 Xeon 사양을 이야기 하기도 한다. [약 150개 용어 풀이] - AGILE - AI - AIX - ANT - APACHE - Archive - ASM - ASP - AWS - AZURE - backbone - Bare Metal ...(중략)... - vmware - VTL - WAF -
  • 가격 3,500원
  • 등록일 2020.03.02
  • 파일종류 한글(hwp)
  • 직종구분 기타
능동망 기반 홈 네트워크 구조연구(2002.1~2002.12) * 인간 중심의 pervasive computing 환경을 위한 미들웨어 프레임워크 개발(2003 ~ 진행중 과제) * 인턴쉽 연구 (한국전자통신연구원(ETRI) 컴퓨터소프트연구소 휴대클라이언트연구팀, 2002.7~2002.12)
  • 가격 1,700원
  • 등록일 2010.05.10
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
구조를 개편하였다. 2006년 현재 반도체 업계 최고의 순이익을 내고 있으며, 80nm급 DRAM의 양산에 성공하여 최고의 매출과 성장률을 기록하고있다. ● 면접 족보 - 하이닉스 면접의 특징 & 준비 POINT 하이닉스 반도체 면접은 다른 회사들의 면
  • 가격 1,000원
  • 등록일 2008.10.08
  • 파일종류 아크로벳(pdf)
  • 직종구분 기타
처리하기 위해 대인관계 능력과 사회성 역시 중요하다고 봅니다. 이러한 자질을 저는 가지고 있다고 생각하며 이러한 자질의 향상을 위해 지속적으로 마인드 컨트롤을 통해 노력하고 있습니다. 저는 이러한 기본소양을 중앙대학원에서 쌓은
  • 가격 2,000원
  • 등록일 2008.11.18
  • 파일종류 한글(hwp)
  • 직종구분 기타
중앙회로부터 분리·독립법인화해 경쟁력 있는 금융기관으로 성장하고자 사업구조개편을 진행하고 있습니다. 수협은행과 같은 중소형은행이 대형은행과의 경쟁에서 살아남기 위해 취해야 할 전략에 대해 작성해 주시기 바랍니다. / 1400 Bytes
  • 가격 2,200원
  • 등록일 2015.10.15
  • 파일종류 한글(hwp)
  • 직종구분 기타
top