• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 12건

공용 ALU를 가진 레지스터집합 시뮬레이션 컴퓨터 구조론 ALU(arithmetic-logic unit)란? 중앙처리장치의 일부로서 산술-논리 장치라는 이름 그대로 컴퓨터 명령어 내에 있는 연산자들에 대해 연산과 논리동작을 담당한다. ALU의 구조 >n비트 ALU는 n
  • 페이지 18페이지
  • 가격 8,400원
  • 등록일 2015.11.17
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
ALU가 정상적으로 동작하는지 여부를 확인해보는 것 이였습니다. 전반적인 Code에 조건문으로 IF문을 많이 사용하였고, 각 블록 단위로 코딩을 실시하여 디버깅이 쉽게 하였습니다. 이번 과제를 통하여 VHDL에 익숙해 질 수 있었고, 시뮬레이션
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2012.06.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
op****를 선택하게 되고, 이 값이 모듈의 output인 5bit alu_op가 된다. 이로써 8bit의 instruction opcode를 받아, ALU가 동작할 수 있도록 5bit의 control code로 바꾸어주는 Instruction Decoder가 구현되었다. ① Decoder의 시뮬레이션 결과 ① Decoder의 구현
  • 페이지 4페이지
  • 가격 2,000원
  • 등록일 2011.10.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
ALU를 설계를 하였다. 스키메틱이 아닌 VHDL의 코딩으로 회로를 설계 하였는데, 처음 과제를 받았을 때 어떤식으로든 설계가 가능하다고 하셔서 Behaviral로 ALU를 설계해 갔었다. 지금 코딩한 스트럭쳐보다 상위 언어인 Behaviral로 코딩을 하면 중간
  • 페이지 21페이지
  • 가격 3,000원
  • 등록일 2006.04.25
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
2bit ALU 설계  AND, OR, ADD, Subtract의 4가지 기능을 갖는 2bit ALU를 설계한다. 1. AND 게이트 설계 ① AND 게이트 진리표 및 회로도 
  • 페이지 27페이지
  • 가격 12,600원
  • 등록일 2012.12.11
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top