|
7진 UP/DOWN COUNTER의 논리식 유도
(a)JK - flip flop 특성 방정식을 이용한 7진 UP/DOWN COUNTER의 논리식 유도
< C* >
JC = X\'AB + XA\'B\' KC = AB + X\'B + XA\'B\'
< B* >
JB = X\'A + XA\' KB = C + X\'A + XA\'
< A* >
JA = X\'C\' + CB\' + XB KA = 1
(b)JK - flip flop 여기표를 이
|
- 페이지 6페이지
- 가격 13,860원
- 등록일 2012.12.11
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
7 is
signal CLK_4M : std_logic :='0';
signal RSTB : std_logic;
signal SEG : std_logic_vector(6 downto 0);
signal DIGIT : std_logic_vector(5 downto 0);
component seg_7
port(
CLK_4M, RSTB : in std_logic;
SEG : out std_logic_vector(6 downto 0);
DIGIT : buffer std_logic_vector(5 downto 0)
);
end compone
|
- 페이지 4페이지
- 가격 2,300원
- 등록일 2012.11.05
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
7세그먼트로 표현 가능함을 알 수 있다.
위의 사진은 10의 자리에서 잘 동작하는 것을 보여주는 것이다. 앞에서부터 차례대로 16,18,1a 이다. 여전히 아무 이상이 없이 동작하는 것을 볼 수 있다.
위의 사진은 10 의 자리에서 한번 올라간 20부터
|
- 페이지 8페이지
- 가격 1,000원
- 등록일 2006.05.31
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
seg7_1 is
port(x:in std_logic_vector(3 downto 0);
y:out std_logic_vector(6 downto 0));
end seg7_1;
architecture beha of seg7_1 is
begin
process(x)
begin
case x is
when "0000" => y<="1111110";
when "0001" => y<="0110000";
when "0010" => y<="1101101";
when "0011" => y<="1111001
|
- 페이지 6페이지
- 가격 1,000원
- 등록일 2005.10.12
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
/////////////
/* 7세그먼트 구동 */
/////////////////////////////////////////////////////
#include <reg51.h>
void delay(void)
{
unsigned int i;
for(i=0;i<0xF000;i++);
}
void main(void) // 메인 함수 본체
{
unsigned char volatile xdata *leddata = 0xFC00;
//세그먼트에 불이 들어오게 하는
|
- 페이지 10페이지
- 가격 1,000원
- 등록일 2006.05.31
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|