|
의한 회로도 작성 대신 언어적 형태로 전자회로의 기능을 표현한다. 아날로그 신호(mixed-signal)는 VHDL-AMS(VHDL Analog and Mixed-Signal Extensions)로 표현하나, 실제 활용면에서 디지털회로에 많이 적용되어 사용한다. 1. 목적
2. 이론
3. 예비보고
|
- 페이지 16페이지
- 가격 1,500원
- 등록일 2021.01.07
- 파일종류 아크로벳(pdf)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
툴이나 합성 툴의 사용법을 다시 한번 숙지 할 수 있는 좋은 기회가 되었습니다. 그리고 ALU에 대한 개념과 원리에 대해서 이해 할 수 있는 시간이 되었습니다. 1. Background
2. ALU Function Table
3. ALU 설계방법
4. VHDL Code 및 시뮬레이션
5.
|
- 페이지 9페이지
- 가격 2,300원
- 등록일 2012.06.13
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
설계/실습1 강의자료실 실험7. 오실로스코프의 사용법
2) 디지털 논리설계 3rdEdition.최종필 외 6명. McGraw-HillKorea.
3) 네이버 백과사전, 오실로스코프
6. 예비 보고서
(1) 오실로스코프는 교류 전압을 측정하기 위하여 사용되는가?
순서값이 화면에
|
- 페이지 7페이지
- 가격 1,500원
- 등록일 2015.02.06
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
사용법과 옴의 법칙
< 전기회로 및 실습 예비 + 결과 보고서 >
1. 실습 목표
2. 실습 관련 이론
① 브레드 보드 (사용법)
② 멀티미터 (사용법)
③ 저항 읽기
④ 전류계, 전압계
⑤ 옴의 법칙
3. 실습 도구
4. 실습 방법
5. 생각해
|
- 페이지 15페이지
- 가격 2,300원
- 등록일 2013.08.06
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
VHDL code
1. transport delay
library ieee; use ieee.std_logic_1164.all;
entity bool_func is
port ( x : in std_logic;
y : out std_logic );
end bool_func; 1. Title: VHDL을 이용한 inertial delay와 transport delay 확인
2. purpose:
3. Theory
4. Data & Result
(1) VH
|
- 페이지 6페이지
- 가격 4,200원
- 등록일 2012.12.17
- 파일종류 워드(doc)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|