• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 79건

1. Title: VHDL을 이용한 inertial delay와 transport delay 확인 2. purpose: 작성한 vhdl code와 시뮬레이션 결과를 첨부하고, inertial delay와 transport delay의 차이점에 주목하여 결과를 비교한다. 3. Theory  전파지연시간(propagation delay) 􀂾 신호
  • 페이지 6페이지
  • 가격 4,200원
  • 등록일 2012.12.17
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
inertial, transport delay에 의한 신호의 변화(Device:MAX2) Device : Stratix3 Device : MAX2 7. 참고서적 - 이대영 저, 하드웨어 설계를 위한 VHDL 기초와 응용, 홍릉과학, 초판, 1995, pp.36-48, 64-66, 100 - 박세현 저, 디지털 시스템 설계를 위한 VHDL 기본과 활용, 그린,
  • 페이지 6페이지
  • 가격 3,300원
  • 등록일 2013.07.01
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
Ⅱ. 관련 연구 Ⅲ. CBR HDTV TS 패킷 전송을 위한 새로운 메카니즘의 제안 Ⅳ. 전송단 및 수신단의 하드웨어 구현 Ⅴ. VHDL을 이용한 회로 설계 및 검증 Ⅵ. FPGA를 통한 기능 검증 Ⅶ. 결론
  • 페이지 12페이지
  • 가격 2,300원
  • 등록일 2002.11.08
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
simulation 프로그램의 활용은 필수적이다. 7.참고문헌 (1) Fundamentals of Digital Logic with VHDL Design second edition, Stephen Brown, 2005 (2) http://210.99.156.1/home/shkim/chart1-1-2a.htm 1. 제목 2. 개요 3. 이론 4. VHDL Code 5. 결과 및 분석 6. 토의사항 7. 참고문헌
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
확인했다. 즉 같은 project 내에서 여러 개의 file을 만들어 사용하는데 main project의 이름과 같은 file이 main file이 되어 이 main file 에 대해서만 waveform을 비롯한 결과들이 simulation된다는 것을 이해했다. 8.참고문헌 (1) Fundamentals of Digital Logic with VHDL
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 1건

3. 결론 및 고찰 4. 참고문헌 5. 부록 (회로 및 데이터시트, 코드)  5.1 Distortion - 디스토션  5.2 Clean Boost - 클린 부스트  5.3 Fuzz - 퍼즈  5.4 Delay - 딜레이  5.5 앰프부 - Mini Amp  5.6 앰프부 - DI-Box  5.7 MATLAB 출력 신호 확인 코드
  • 페이지 33페이지
  • 가격 7,000원
  • 발행일 2012.12.13
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
top