• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 138건

inertial, transport delay에 의한 신호의 변화(Device:MAX2) Device : Stratix3 Device : MAX2 7. 참고서적 - 이대영 저, 하드웨어 설계를 위한 VHDL 기초와 응용, 홍릉과학, 초판, 1995, pp.36-48, 64-66, 100 - 박세현 저, 디지털 시스템 설계를 위한 VHDL 기본과 활용, 그린,
  • 페이지 6페이지
  • 가격 3,300원
  • 등록일 2013.07.01
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
transport delay library ieee; use ieee.std_logic_1164.all; entity bool_func is port ( x : in std_logic; y : out std_logic ); end bool_func; 1. Title: VHDL을 이용한 inertial delay와 transport delay 확인 2. purpose: 3. Theory 4. Data & Result (1) VHDL code (2)
  • 페이지 6페이지
  • 가격 4,200원
  • 등록일 2012.12.17
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
시행할 수 있다. 7. 참고문헌 2009 서강대학교 전자공학과 디지털 회로 설계 황선영 교수님 강의 자료 McGraw-Hill, Fundamentals of Digital logice with VHDL design, Brown&Vranesic, 2/e 아진, 실습으로 배우는 VHDL, 이강/장경선, 증보판 http://asicfpga.com/site_upgrade/asicfp
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2013.08.08
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
디지털 시스템 설계를 위한 VHDL 기본과 활용, 그린, 초판, 1998 pp.31-39 1. 제목 : 고속 동작 덧셈기 설계 2. 설계 목적 3. 설계 내용 4. 분석  1) CLA (Carry Look Ahead Adder)  3) CSA (Carry Select Adder)  2) 4bit CLA Block 4개를 연결하여 16bit CLA 구현
  • 페이지 8페이지
  • 가격 3,300원
  • 등록일 2013.07.01
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
구현하기위한 노력을 줄일 수 있다. 이를 종합해 보면 회로를 설계하기 위해 이와 같은 computer로 돌려보는 가상 simulation 프로그램의 활용은 필수적이다. 7.참고문헌 (1) Fundamentals of Digital Logic with VHDL Design second edition, Stephen Brown, 2005 (2) http://210
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 7건

기본개념과 동작원리 5. Chage Pump (전하펌프)의 기본개념과 동작원리 6. VCO (전압제어 발진기) 기본개념과 동작원리 7. VCDL (전압제어 지연단) 기본개념과 동작원리 8. DLL 구현 및 시물레이션 9. 결론 ♦ 참고문헌
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로 연결 포트 7 2.2 소프트웨어 9 2.2.1 본체 구동 코딩 9 2.2.1.1 AX 12+ 서보모터 Setting 9 2.2.1.2 AX 12+ 서보모터 Main 12 2.2.1.3 AX 12+ 서보모터 제어 Main 13 2.2.1.4 AX 12+ 서보모터 제어 함수 17 2.2.1.5 Delay
  • 페이지 57페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로도는 www.lancos.com에서 쉽게 구할 수 있고 설명이 되어 있어 쉽게 했다. 7) 테스트시에 밧데리 소모가 많은데 비해 충전기가 없어서 충전 못함. 밧데리를 연결 한 결과 1분도 안되어서 다 방전 되었다. 충전을 해야 하는데 인터넷을 뒤졌으나
  • 페이지 50페이지
  • 가격 20,000원
  • 발행일 2010.02.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로도 및 도면 11 4.1 조작부 11 4.1.1 전체 11 4.1.2 ATmega128 12 4.1.3 JTAG Port 13 4.1.4 Power(+3.3v) 13 4.1.5 RF(Zigbee) 14 4.1.6 TFT-LCD 14 4.2 동작부 15 4.2.1 전체 15 4.2.2 ATmega128 16 4.2.3 RF(Zigbee) 17 4.2.4 Servo
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로 KA4558 (Dual Operational Amplifier) 그림 46. 4558 데이터 시트 5.2 Clean Boost - 클린 부스트 그림 47. Clean Boost 전체 회로 5.3 Fuzz - 퍼즈 그림 48. Fuzz 전체 회로 5.4 Delay - 딜레이 그림 49. Delay 전체 회로 PT2399 (Single Chip Echo Processor IC) 그림 50. PT2399 PIN 배
  • 페이지 33페이지
  • 가격 7,000원
  • 발행일 2012.12.13
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 6건

VHDL 언어를 배우기 시작했을 때 생각하고 있는 어떤 회로라도 설계할 수 있는 도구를 얻은 듯한 기쁨으로 공부할 수 있었습니다. 그리고 이를 이용하여 각종 간단한 디지털회로에서 복잡한 제어용 회로들을 구현해보고 IEEE에 올라온 최신 논
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
설계된 회로를 보다 빠르고 정확한 검증은 일각을 다투는 4차 산업의 시장에서 상대적으로 신속하게 제품을 생산할 수 있고, 제품생산까지의 시행착오를 줄여줄 수 있으므로 상당히 중요한 의미를 지닙니다. 이러한 점에서 넓은 시야와 끊임
  • 가격 3,500원
  • 등록일 2021.07.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
설계된 회로를 보다 빠르고 정확한 검증은 일각을 다투는 4차 산업의 시장에서 상대적으로 신속하게 제품을 생산할 수 있고, 제품생산까지의 시행착오를 줄여줄 수 있으므로 상당히 중요한 의미를 지닙니다. 이러한 점에서 넓은 시야와 끊임
  • 가격 3,500원
  • 등록일 2021.07.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
시험에서 전기기사 및 전기산업기사 각각 85점, 81점인 높은 점수를 얻을 수 있게 되었습니다. 이를 극복하는 과정에서 더 깊이 있게 지식을 쌓을 수 있었던 경험이 되었습니다. 0000에서 저는 시스템 제작 및 시공, 유지보수 등 PCB 설계 업무를
  • 가격 4,000원
  • 등록일 2021.10.12
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
시험에서 전기기사 및 전기산업기사 각각 85점, 81점인 높은 점수를 얻을 수 있게 되었습니다. 이를 극복하는 과정에서 더 깊이 있게 지식을 쌓을 수 있었던 경험이 되었습니다. 0000에서 저는 시스템 제작 및 시공, 유지보수 등 PCB 설계 업무를
  • 가격 4,000원
  • 등록일 2021.09.13
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
top