|
inertial, transport delay에 의한 신호의 변화(Device:MAX2)
Device : Stratix3
Device : MAX2
7. 참고서적
- 이대영 저, 하드웨어 설계를 위한 VHDL 기초와 응용, 홍릉과학, 초판, 1995, pp.36-48, 64-66, 100
- 박세현 저, 디지털 시스템 설계를 위한 VHDL 기본과 활용, 그린,
|
- 페이지 6페이지
- 가격 3,300원
- 등록일 2013.07.01
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
transport delay
library ieee; use ieee.std_logic_1164.all;
entity bool_func is
port ( x : in std_logic;
y : out std_logic );
end bool_func; 1. Title: VHDL을 이용한 inertial delay와 transport delay 확인
2. purpose:
3. Theory
4. Data & Result
(1) VHDL code
(2)
|
- 페이지 6페이지
- 가격 4,200원
- 등록일 2012.12.17
- 파일종류 워드(doc)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
시행할 수 있다.
7. 참고문헌
2009 서강대학교 전자공학과 디지털 회로 설계 황선영 교수님 강의 자료
McGraw-Hill, Fundamentals of Digital logice with VHDL design, Brown&Vranesic, 2/e
아진, 실습으로 배우는 VHDL, 이강/장경선, 증보판
http://asicfpga.com/site_upgrade/asicfp
|
- 페이지 9페이지
- 가격 2,300원
- 등록일 2013.08.08
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
디지털 시스템 설계를 위한 VHDL 기본과 활용, 그린, 초판, 1998 pp.31-39 1. 제목 : 고속 동작 덧셈기 설계
2. 설계 목적
3. 설계 내용
4. 분석
1) CLA (Carry Look Ahead Adder)
3) CSA (Carry Select Adder)
2) 4bit CLA Block 4개를 연결하여 16bit CLA 구현
|
- 페이지 8페이지
- 가격 3,300원
- 등록일 2013.07.01
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
구현하기위한 노력을 줄일 수 있다. 이를 종합해 보면 회로를 설계하기 위해 이와 같은 computer로 돌려보는 가상 simulation 프로그램의 활용은 필수적이다.
7.참고문헌
(1) Fundamentals of Digital Logic with VHDL Design second edition, Stephen Brown, 2005
(2) http://210
|
- 페이지 6페이지
- 가격 1,800원
- 등록일 2013.08.07
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|