• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 159건

|= 0x100; } else { (*(volatile unsigned*)0x40010c10) |= 0x100; } if( ((*(volatile unsigned*)0x40010808) & 0x2 )== 0x2 ) //GPIOA_pin1 check { (*(volatile unsigned*)0x40010c14) |= 0x200; } else { (*(volatile unsigned*)0x40010c10) |= 0x200; } } } 1. LED 점등 2. 비트밴딩 3. 3색LED 4. 세크먼트 5
  • 페이지 7페이지
  • 가격 9,660원
  • 등록일 2014.05.28
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
LED Toggle 점등 코드 실습예제#1 LED Toggle 점등 결과 (캡쳐) 실습예제#2 LED Shift 점등 코드 실습예제#2 LED Toggle 점등 결과 (캡쳐) 실습예제#3 임의 코드 만들기 ( LED 2개씩 점등 ) 실습예제#3 LED Toggle 점등 결과 (동영상, 캡쳐) 1. 실험목표 2. 관
  • 페이지 9페이지
  • 가격 3,000원
  • 등록일 2024.11.04
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
점 처음 디지털 논리회로 실습을 배울 때 아무것도 모른체 새로운 학문에 도전한다는 것이 힘들고 어렵기만 했습니다.VCC와 GND 가 어떤 것인지도 판단하지 못하는 저희가 이 과목을 통해 단기간 내에 많은 것을 배우고 느끼게 된 것 같습니다.
  • 페이지 16페이지
  • 가격 2,300원
  • 등록일 2013.08.28
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
LED at the same time. *When the number become `F`, reset it `0` then count it up again. Ex) 0 -> 1 -> 2 -> ….. -> E -> F -> 0 -> 1 -> … 4. Background ※Interrupt 5. Experimental Results -Program 6. Analysis 1)Program Component-1 2)Program Component-2 3)Program Component-3 4)Program Comp
  • 페이지 20페이지
  • 가격 2,000원
  • 등록일 2011.12.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
점에서 예정된 일정을 맞추기가 쉽지 않았다. 특히 재료 구입, 프로그램 소스 구성, 모형 자동차 제작 그리고 마이크로프로세서 이해 등을 혼자서 하는 것이 생각보다 쉽지 않은 작업이었다. 또한 1년 휴학 후 복학한 상황에서 예전 친구들이
  • 페이지 35페이지
  • 가격 4,000원
  • 등록일 2009.06.16
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 3건

4.2.5 DC Motor Drive 18 4.2.6 Camera Module 18 4.2.7 JTAG Port 19 4.2.8 LED Light / Power(+3.3v) 19 제 5 장 제작 및 실험 20 5.1 조작부 회로기판 20 5.2 동작부 회로기판 20 5.3 동작부 몸통(회로기판 제외) 21 제 6 장 결 론 22 參考文獻 23
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
등 ................. 7 [그림 1-1] 각각의 장소에 센서 부착 ................................ 8 [그림 2-1] 다이오드의 전류흐름과 공핍층(depletion layer) ........ 10 [그림 2-2] p-n 다이오드의 전류-전압(I-V) 특성 ................. 11 [그림 2-3] LED 기본회로와 밴드이론
  • 페이지 25페이지
  • 가격 2,000원
  • 발행일 2010.06.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
key_d,key_det : std_logic;     signal sw1_d,sw1_det,sw2_d,sw2_det : std_logic;     signal save1,save2,save3,save4 : std_logic_vector(3 downto 0); begin  process(clear,clk)     begin      if(clear ='1') then          sw1_d <= '0';       
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자

취업자료 2건

LED의 점등 개수가 달라지는 전자제품을 제작했습니다. 셋째, 여러 전자기기와 프로그램의 사용법을 익혔습니다. '오실로스코프'를 통해 전자회로에 흐르는 파형을 측정하고, '벡터 네트워크 분석기'를 통해 다이폴 안테나와 패치 안테나를 제
  • 가격 2,500원
  • 등록일 2025.04.03
  • 파일종류 한글(hwp)
  • 직종구분 전문직
점 [100자 이내] [원만한 대인관계] 3) 성격의 단점 [100자 이내] [꼼꼼한 완벽주의] 4) 기타 사회활동(봉사활동/사회경험 등) [200자 이내] [함께하는 사회] 5) 지원분야 관련 자기개발노력(활동, 수업, 공모전 등) [200자 이내] [생산관리
  • 가격 1,300원
  • 등록일 2013.06.22
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
top