|
BCD_TO_FDATA
entity BCD_to_Fdata is --BCD_to_Fdata 의 입출력을 설정
port ( Data_in : in std_logic_vector( 3 downto 0);
Data_out: out std_logic_vector ( 7 downto 0));
end BCD_to_Fdata;
architecture beha of BCD_to_Fdata is
begin
process(Data_in)
begin
if Data_in = 0 then -- 0 이 입력되면
Data_out <
|
- 페이지 13페이지
- 가격 2,000원
- 등록일 2009.05.04
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
BCD to Binary설명.docx
공지사항
설계 주제 : BCD to Binary 구현
1. 지금까지 설계한 덧셈기, 뺄셈기, 곱셈기, 나눗셈 연산기에 BCD-to-Binary 회로를 추가하여 signed-BCD 입력, Sign & magnitude binary 출력의 연산기를 구현한다.(그림)
( 4칙 연산 모두 양/
|
- 페이지 32페이지
- 가격 5,000원
- 등록일 2013.12.28
- 파일종류 압축파일
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
BCD TO 7-SEGMENT DECODER의 동작은 다음과 같다.
(1) 4개의 스위치에서 입력을 받는다.
(2) 4개의 스위치에서 받은 입력을 HD74LS47P에 설계된 LOGIC에 따라 DECODE한다.
DECODE된 신호는 FND507로 이어진다.
(3) FND507은 HD74LS47P에서 받은 신호를 각각의 LED를 켜서
|
- 페이지 12페이지
- 가격 2,300원
- 등록일 2012.03.31
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
to 4 line decoder와 진리표
4) LED와 7 SEG LED
a) LED(Light Emitting Diode)
기 호
A K
외 관
anodecathod
그림 8.3. LED의 기호 및 외관
b) 7-segment LED와 BCD-to-7 SEG Decoder
7-SEG LED : 7편의 LED의 조합으로 숫자 표시, 각 LED에 a부터 g까지 기호가 부여됨. 애노드 공통형과
|
- 페이지 11페이지
- 가격 2,000원
- 등록일 2015.10.02
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
BCD to 7-segment decoder)
∙수치 표시기(Numeric Display with Socket) LTS 542(or 다른 common Anode)
∙저항 680[Ω] (7개) 1/4W
.
.
.
(2) 십진수의 표시
10진수 표시를 위한 대표적인 것이 BCD-to-7 Segment 디코더이다. 이것은 BCD로 나타내는 숫자신호를 7-Segment
|
- 페이지 7페이지
- 가격 1,800원
- 등록일 2013.08.07
- 파일종류 워드(doc)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|