• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 62건

ALU, Shifter, 베릴로그 소스, 예비, 결과레포트 ☞ Carry Ripple Adder / Carry Lookahead adder ◎ Carry Ripple Adder > 비트 벡터로 구성된 두 개의 입력을 받을 때, 하위 비트의 덧셈 과정에서 carry가 발생하여 상위 비트의 adder에 carry in으로 들어가는
  • 페이지 7페이지
  • 가격 1,000원
  • 등록일 2008.11.28
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
ALU( Ripple Carry Adder 이용 ),Wallace( 곱셈기 ),베릴로그,쿼터스, 소스 결과레포트만 있습니다. 베릴로그로 짠 소스있습니다. 
  • 페이지 3페이지
  • 가격 700원
  • 등록일 2008.11.28
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
Carry 발생 없이(Carry=0) en 개의 숫자가 가산될 경우, 가산 시간은 하나의 전가산기에 Data bit가 입력되어 Sum출력이 생길 때까지 소요되는 전파 시간과 같게 된다. [그림1] Worst Carry 전파 지연을 보여주는 4-bit 병렬 2진 Ripple-Carry Adder 1. 병렬 2진
  • 페이지 2페이지
  • 가격 1,000원
  • 등록일 2006.04.04
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
알 수 있다. 이는 Unsigned Decimal Number계산만을 가능하게 하므로 발생하는 결과이다. 1. 개요 2. 알고리즘 3. Verilog코드 - RCA와 Subtracter(가감산기 코드 따로 되어있음) 4. 파형 및 분석 - 결과파형을 십진수와 이진수 두개로 보여줌.
  • 페이지 7페이지
  • 가격 1,500원
  • 등록일 2006.04.04
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
구조를 비교기를 퉁하여 큰 수에서 작은 수를 뺄 때, RCA에 이론으로 배웠던 2’s complement를 취하여 덧셈을 하면 뺄셈이 되는 것을 실험을 통하여 알 수 있었다. CLA를 통하여 carryr값을 예측하여 계산 속도를 높인다는 것을 알 수 있었다 
  • 페이지 5페이지
  • 가격 1,000원
  • 등록일 2009.07.06
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top