• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 13,333건

VHDL 코드를 살펴보면 전체적으로 3개의 파일로 이루어져 있다. 1 bit full adder, adder_package, 4 bit full adder 이다. 1 bit full adder는 주어진 truth table에 따라 계산하여 1차 과제 때처럼 설계하면 되었다. 이번 과제에서는 package를 사용하였는데 이 것은 c
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
VHDL의 의의 2. VHDL의 종류 1) Verilog-HDL 2) AHDL 3) UDL/I 3. VHDL의 역사적 배경 4. VHDL의 특징 1) 표준화된 HDL 2) 이용의 확대 3) 설계 기술 능력 4) 언어로서의 기능 5. VHDL의 표현방법(Y 차트라고함) 1) Behavioral modeling 2) Dataflow modeling 3) S
  • 페이지 5페이지
  • 가격 3,000원
  • 등록일 2009.02.19
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계, 박명순, 사이텍미디어 컴퓨터구조 설계(VHDL코딩을 중심으로), 이강현, 대영사 컴퓨터 구조원리, Miles J.Murodocca 외, 피어슨에듀케이션코리아 전자계산기 일반 및 컴퓨터구조, 이재수 외, 한올 병 렬 처 리 컴 퓨 터 의 개 념 ▲ 병렬
  • 페이지 11페이지
  • 가격 2,000원
  • 등록일 2010.01.27
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
VHDL을 이용한 설계검증 S/W인 Quartus II web edition의 사용방법을 간단하게 소개. Definition of VHDL - VHDL is an international IEEE standard specification language for describing digital hardware used by industry worldwide. VHDL is an acronym for VHSIC( Very High Speed Integrated Circuit) Hard
  • 페이지 56페이지
  • 가격 3,000원
  • 등록일 2006.09.25
  • 파일종류 피피티(ppt)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계, 사이텍미디어 ▷ 신봉희(2005), 컴퓨터 구조, 일진사 ▷ 이강현, 컴퓨터구조 설계, VHDL코딩을 중심으로, 대영사 ▷ 이재수 외, 전자계산기 일반 및 컴퓨터구조, 한올 Ⅰ. 개요 Ⅱ. CPU(중앙처리장치) Ⅲ. 메인보드(Main Board, 마더보드)
  • 페이지 9페이지
  • 가격 5,000원
  • 등록일 2009.07.13
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계에 관한 연구, 한양대:석사논문 김종현, 컴퓨터구조, 생능출판사 기한제, 컴퓨터구조론 이강현, 컴퓨터구조 설계(VHDL코딩을 중심으로), 대영사 이재범·남기찬(1999), 정보기술과 PC활용, 법문사 조순복·김광희, 8086/8088 마이크로프로세서와
  • 페이지 15페이지
  • 가격 6,500원
  • 등록일 2009.03.20
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
목적 VHDL로 작성된 16bit myrisc processor를 참조하여 Verilog를 이용하여 설계, 구현한다. 필요성 주어진 16bit risc processor VHDL 코드를 참조하여 이번 학기 컴퓨터 구조 수업에서 배운 RISC processor과 ALU(Arithmetic Logic Unit) 등의 구조와 Pipelining등의 기술
  • 페이지 4페이지
  • 가격 2,000원
  • 등록일 2006.06.29
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
이용의도에 미치는 영향」, 경기대학교 박사학위논문, 2014.12, p.129 김익희 외, 「역 매표창구 최적화를 위한 시뮬레이션 설계 연구」, 한국철도학회, 한국철도학회 학술발표대회논문집, 2009.05, p.3 기타 권영식·문장실, 「유통경로내의 거래비
  • 페이지 14페이지
  • 가격 2,000원
  • 등록일 2016.12.03
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계, VHDL코딩을 중심으로, 대영사 Ⅰ. 컴퓨터(PC)의 구조 Ⅱ. 중앙처리장치(CPU) Ⅲ. 주기억장치 1. ROM(Read Only Memory) 2. RAM(Random Access Memory) Ⅳ. 보조기억장치 Ⅴ. 키보드 Ⅵ. 마우스 Ⅶ. 모니터 1. CRT(Cathode Ray Tube) 2. LCD(Liquid Cryst
  • 페이지 6페이지
  • 가격 5,000원
  • 등록일 2009.07.21
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계, 디자인 등의 방면에서 상당한 공헌을 할 수 있을 것같은 생각이 든다. Ⅰ. Title of the project 캔자판기 효율화를 위한 개선제안 Ⅱ. System Description (1) 캔자판기의 외부 (2) 캔자판기의 내부 (3) 음로수가 나오는 원리 Ⅲ
  • 페이지 8페이지
  • 가격 1,500원
  • 등록일 2002.12.24
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top