|
반도체 직접회로설계 수업을 들으며 익힌
내용을 토대로 흔히 볼 수 있는 미니 커피 자판기를 Altera Quartus II 를 이용하여 VHDL 언어로 코딩 함으로 써 VHDL설계에 대한 이해도를 높인다.
미니 커피 자판기를 위한 코딩으로써 음식점 입구에서
|
- 페이지 16페이지
- 가격 3,000원
- 등록일 2009.06.21
- 파일종류 피피티(ppt)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
이용한 VHDL 및 FPGA 실습
김 재 철 | 홍릉과학출판사 | 2005년 02월
[5] FPGA DESIGN 이론 및 실습
DAVID VAN DEN BOUT | 김만복 편 옮김 | 홍릉과학출판사 | 2000년 09월
[6] 디지털 논리와 컴퓨터 설계
M.MORRIS MANO | 강철희 외 옮김 | 교보문고 | 2005년 02월
|
- 페이지 36페이지
- 가격 2,000원
- 등록일 2007.01.30
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
VHDL을 이용하여 ALU(Arithmetic logic unit)을 설계해보고, 합성 툴을 이용한 합성을 통하여 설계된 ALU가 정상적으로 동작하는지 여부를 확인해보는 것 이였습니다. 전반적인 Code에 조건문으로 IF문을 많이 사용하였고, 각 블록 단위로 코딩을 실시하
|
- 페이지 9페이지
- 가격 2,300원
- 등록일 2012.06.13
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
VHDL code
1. transport delay
library ieee; use ieee.std_logic_1164.all;
entity bool_func is
port ( x : in std_logic;
y : out std_logic );
end bool_func; 1. Title: VHDL을 이용한 inertial delay와 transport delay 확인
2. purpose:
3. Theory
4. Data & Result
(1) VH
|
- 페이지 6페이지
- 가격 4,200원
- 등록일 2012.12.17
- 파일종류 워드(doc)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
이용하여 수행하였는데, “cin"과 그룹화 시켜서 ”00000“에서 ”11111“
순서로 입력이 들어가게 하였다.
- 이 회로도가 지금까지 짜여진 VHDL 코딩에서 얻어낸 심볼로 "lastalu"를 설계한 회로도 이다.
결론
- 이번 연산은 산술뿐만 아니라 논리 연
|
- 페이지 21페이지
- 가격 3,000원
- 등록일 2006.04.25
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|