• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 86건

반도체 직접회로설계 수업을 들으며 익힌 내용을 토대로 흔히 볼 수 있는 미니 커피 자판기를 Altera Quartus II 를 이용하여 VHDL 언어로 코딩 함으로 써 VHDL설계에 대한 이해도를 높인다. 미니 커피 자판기를 위한 코딩으로써 음식점 입구에서
  • 페이지 16페이지
  • 가격 3,000원
  • 등록일 2009.06.21
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
고급커피를 선택시 coffin <="0010";--고급커피 출력 restoration<="0000";--반환된 것이 없음을 표시 sig <= "1101101";--세그먼트 2을 표시 elsif citron='1' then--유자차 선택시 coffin <="0100";--유자차 출력 restoration<="0000";--반환된 것이 없음을 표시 sig &l
  • 페이지 6페이지
  • 가격 5,000원
  • 등록일 2009.12.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
VHDL 문법을 통한 코딩을 하였는데 그 과정에 크고, 작은 오류가 발생하였습니다. 가장 큰 오류는 코딩을 다 한 뒤에 Simulation을 통해 확인하는 과정에서 발견되었습니다. 첫째, 하나의 CLK에서는 한번의 동작만 가능한데 이를 인지하지 못하고,
  • 페이지 21페이지
  • 가격 10,000원
  • 등록일 2018.06.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
자판기프로그램을 선택하였습니다. 애플릿구현과 레이아웃을 심화학습 하며 최대한 실제의 자판기와 유사하게 구현하는 것입니다. ≪ … 중 략 … ≫ 소스 import javax.swing.*; import javax.swing.border.*; import java.awt.*; import java.awt.e
  • 페이지 12페이지
  • 가격 5,000원
  • 등록일 2013.01.02
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
처음에 자판기 메뉴얼이 나오고 돈을 넣으면 잔돈 반환이랑 남은수량도 체크하게끔 프로그램을 구현 하였습니다. 또 주문이끝나면 반복문을 이용하여 다시 메뉴얼 나오도록 구현 하였습니다. 1.소스코드 2.출력결과 3.소스코드 캡
  • 페이지 4페이지
  • 가격 1,000원
  • 등록일 2017.11.25
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 1건

door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자

취업자료 1건

VHDL소스를 설계하고 컴파일하는 프로젝트였습니다. 저희는 엘리베이터의 7-SEGMENT를 전자키트에 표출하는 것으로 방향을 잡았습니다. 하지만 팀원과 저는 생소하고 처음 접하는 프로젝트이기에 설계하는 데 어려움이 많았습니다. 1. 지원
  • 가격 3,000원
  • 등록일 2023.02.10
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
top