• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 6건

type ab_value is integer range 0 to 2**ab_width-1; end my_package; library ieee; use ieee.std_logic_1164.all; use work.my_package.all; entity comparator is port ( a,b : in std_logic_vector(3 downto 0); aleeb, abiggerb, aequalb : out std_logic); end comparator; architecture arc of comparator is begin
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2011.11.24
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
실험제목: 비교기 (결과보고서) 1. 예비조사 및 실험 내용의 이해 1.1 기본 비교기 : Exclusive-or gate의 특성을 이용. Exclusive-or gate - 2개의 입력비트가 같지 않을 때는 그의 출력은 1이고, 입력이 같을 때는 0이 된다. 1.2 크기 비교기 : 그 양들의 관
  • 페이지 7페이지
  • 가격 1,300원
  • 등록일 2010.03.08
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
대응하는 비트가 같아야 하므로 AND게이트가 셋트됨. 보통 IC형으로 된 많은 비교기들은 비교하는 두 수의 어느것이 더 큰가를 나타내는 추가의 출력들이 준비되어 있음 <부등의 지시 성능을 가진 4-bit 비교기에 대한 논리 기호> 수 P가 수
  • 페이지 2페이지
  • 가격 800원
  • 등록일 2010.03.08
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
비교기 진리표> 입 력 출 력 X Y X=Y F1 X≠Y F2 X>Y F3 X<Y F4 0 0 1 0 0 0 0 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 <출력 논리식> F1=(XY)\', F2=XY, F3=XY\', F4=X\'Y <max+plusⅡ 그래픽으로 그린 1비트 비교기> <vhdl로 설계한 1비트 비교기> library ieee;use ieee.st
  • 페이지 6페이지
  • 가격 3,000원
  • 등록일 2012.03.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
비교기를 사용하여 수광부에 들어오는 빛에 따른 출력 전압이 기준전압보다 높은 것은 HIGH로 낮은 것은 LOW로 사용하는 방법. 이 방법은 흰색과 검은색 검출에 유용하기 때문에 라인트레이서 제작 에 많이 이용된다. 2) 수광부에 들어오는 빛
  • 페이지 10페이지
  • 가격 1,300원
  • 등록일 2010.03.08
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top