|
인코더(부호기)
entity encoder4x2 is
port( X : in std_logic_vector(3 downto 0);
( Y : out std_logic_vector(1 downto 0));
end encoder4x2;
architecture Behavioral of encoder4x2 is
begin
process (X) -- process 문에서 동작하는 모든 것을 써줘야함 괄호안에
begin
case X is
when "0001" => Y <= "
|
- 페이지 9페이지
- 가격 3,000원
- 등록일 2011.06.22
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
wait for 200 ns;
input(2) <= '1';
-- Place stimulus here
END PROCESS;
tb_d : PROCESS
BEGIN
-- Wait 100 ns for global reset to finish
wait for 70 ns;
en <= '0';
wait for 70 ns;
en <= '0';
-- Place stimulus here
END PROCESS;
END;
source 설명
입력값 en과 input (3bit) 과 출력값 output (8bit)를
|
- 페이지 7페이지
- 가격 1,300원
- 등록일 2010.03.08
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
-2 인코더 설계
그림 2-1에 나타내었던 4-to-2 인코더는 어느 한 순간에 입력들
중 오직 한 입력만이 1이어야 정상적으로 동작할 수 있다는 제약
을 가지고 있다. 예를 들어 입력 D1과 D2가 동시에 1이 되면 출
력 AB=11이 되어 마치 입력 D3이 1인 것처
|
- 페이지 6페이지
- 가격 1,300원
- 등록일 2010.03.08
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
것은 집적회로화되어 있다. PCM통신에서는 아날로그디지털 변환기를 코더라 한다.
4. 참고 자료
-VHDL을 활용한 디지털 회로 설계 (한울출판사)
-네이버 백과사전 1. 개 요
2. 문 제
(1) 3*8 Decoder
(2) 3*8 Encoder
3. 고찰 및 의견
4. 참고 자료
|
- 페이지 6페이지
- 가격 1,800원
- 등록일 2012.04.15
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
인코더, MUX에 대해 공부하였다. 이전까지는 간단한 소자들을 몇 개씩 이용한 실험이었지만 이번에 공부한 개념은 실제로 사용할 수도 있고 여러가지로 응용이 가능할 수 있을 것 같다. 항상 느끼는 것이지만 ‘디지털회로설계’ 보다 진도가
|
- 페이지 9페이지
- 가격 1,000원
- 등록일 2011.11.21
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|