|
0000000\";
end if;
end process;
end behave;
테스트 벤치 코드
library ieee;
use ieee.std_logic_1164.all;
entity tb_resister is
end tb_resister;
architecture behave of tb_resister is
signal rst:std_logic;
signal clk:std_logic:=\'0\';
signal d,q:std_logic_vector(7 downto 0);
component resister
port(rst
|
- 페이지 4페이지
- 가격 800원
- 등록일 2008.03.07
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
대학교 출판문화원
[네이버 지식백과] QR코드 [Quick Response Code] (두산백과) 목차
서론
본론
1, 컴퓨터산업의 미래
2, 개인용 컴퓨터 또는 모바일 장치 3대 선정 소개
(삼성전자, 갤럭시북 이온 NT950XCR, 스마트 폰, 갤럭시 Z플립,
애플 iPad
|
- 페이지 10페이지
- 가격 5,000원
- 등록일 2020.04.09
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|