• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 805건

signal transduction 모든 세포는 그 혼자로서는 존재하지 못하고 내적, 외적 자극에 상호 반응하며 생명활동을 전개 유지해 나간다. 따라서 그러한 자극이 어떤 경로를 거쳐 영향을 미치는지 그 mechanism을 안다면 우리는 무한정 분열하거나 무한정
  • 페이지 66페이지
  • 가격 3,000원
  • 등록일 2004.08.16
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
signaling microdomain에 위치하여 GPCR과 직접, 간접적으로 결합하여 조절을 받는다. 즉, VGCC는 GPCR 활성에 따른 G protein에 의해 조절 받을 수 있고, effector 효소에 의해 조절 받을 수 있으며, 신호 인지질에의해 조절 받기도 한다. 이런 조절은 동시에
  • 페이지 9페이지
  • 가격 500원
  • 등록일 2007.05.16
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
Digital signal Processor DSP정의 ┗━━━━━━━━━━─────────… ➢ 다양한 신호 처리 및 고속 연산에 유리하도록 특별히 제작한 프로세서 ➢ DSP는 더하기와 곱하기의 조합 연산을 보다 효율적으로 처리하는
  • 페이지 20페이지
  • 가격 2,800원
  • 등록일 2012.04.15
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
전기공학실험V 발표 11장 Signal Processing Toolbox 12장 Symbolic Math Toolbox Signal Processing Toolbox (목차) ━━━━──────…………………… ✺ signal processing(신호 처리) ✺ signal processing Toolbox란? ✺ 주요 기능  ✵ 명
  • 페이지 59페이지
  • 가격 3,000원
  • 등록일 2011.12.14
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Signaling Pathways in Human Neutrophils involvement of Jak2 in the stimulayion of phosphatidyinositol 3-KINASE. J Biol Chem. 274. 5333-5338 2. Bureau F, C F Sandersen, L Fievez, N Kirschvink, N Kirschvink, P Lekeux, R K Turlej, S Dogne. (2001) Enhanced survival of lung granulocytes in an animal mode
  • 페이지 17페이지
  • 가격 2,300원
  • 등록일 2003.05.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 13건

Ⅰ.INTRODUCTION 1.1.UWB Signal Definition Ultra-wideband (UWB) radio signals employ the transmission of very short impulses of radio energy whose energy spectrum signature extends ascross a wide range of radio frequencies. Because the UWB signals have high bandwidths, they are particularly well
  • 페이지 68페이지
  • 가격 10,000원
  • 발행일 2014.12.02
  • 파일종류 아크로벳(pdf)
  • 발행기관
  • 저자
What is Quorum sensing how do bacteria talk to each other? Single celled bacteria는 기본적인 활동으로 서로 간에 communication을 하고, 변화하는 환경에 집단적으로 반응한다. 이러한 bacteria의 signaling은 독특한 방법을 취한다. 환경의 변화에 따라 반응한 bacteria
  • 페이지 28페이지
  • 가격 5,000원
  • 발행일 2010.04.19
  • 파일종류 아크로벳(pdf)
  • 발행기관
  • 저자
signal state : state_type;     signal x1,x2,x3,x4 : std_logic_vector(3 downto 0);     signal key_d,key_det : std_logic;     signal sw1_d,sw1_det,sw2_d,sw2_det : std_logic;     signal save1,save2,save3,save4 : std_logic_vector(3 downto 0); begin  process(clear,clk)   
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
signal\') xlabel(\'time\') grid on %------------ 파일 Fuzz Face - Mid 재생 ----------------- [Y2, Fs2] = wavread(\'Fuzz Face - Mid.wav\'); wavplay(Y2, Fs2) fc2=24000; %반송파주파수 [m2,fs2, bits] = wavread(\'Fuzz Face - Mid.wav\'); %소리파일 불러옴 t2 = (0:length(m2) -1)/fs2; M2= fftshift(fft(m2))/f
  • 페이지 33페이지
  • 가격 7,000원
  • 발행일 2012.12.13
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
제도의 안정성을 위협할 우려도 있다. (2) 통화정책에 미치는 영향 파생금융상품시장의 발달은 정책당국의 시장예측력을 제고시키는 요인으로 작용한다. 또한 파생금융상품이 발달할 경우 통화당국의 정책신호(policy signal)에 대해 각 경제주
  • 페이지 49페이지
  • 가격 2,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 10건

by the fluorescent signal emitted by the stably immobilized PDA liposomes, at which the fluorescence signal is 10 times higher than the resulting PDA chips made without the interlinker. We took advantage of this procedure to manufacture PDA liposome based multiplex biosensor arrays for well-known wa
  • 가격 3,000원
  • 등록일 2010.09.13
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
Signals and Systems) 24 6. 제어 이론 (Control Theory) 25 7. 신호처리 (Signal Processing) 26 9. Microprocessors and Embedded Systems 27 10. 기타 전자공학 주제 (Miscellaneous Topics) 28 ? 전기공학 학위과정 학술적 능력을 검증하는 기출문제 28 전기회로 이론 29 전자기
  • 가격 9,900원
  • 등록일 2024.09.14
  • 파일종류 아크로벳(pdf)
  • 직종구분 기타
Signals and Systems) 22 6. 제어 이론 (Control Theory) 22 7. 신호처리 (Signal Processing) 23 9. Microprocessors and Embedded Systems 24 10. 기타 전기공학 주제 (Miscellaneous Topics) 25 ? 전기공학 학위과정 학술적 능력을 검증하는 기출문제 26 전기회로 이론 26 전자기
  • 가격 9,900원
  • 등록일 2024.09.14
  • 파일종류 아크로벳(pdf)
  • 직종구분 기타
signal A and B. Also, write a logic table and describe it by using a logic gate. (R1 ~ R7 is nothing but just a path of electrical signal. For example, you can describe electrical path as following. P -> R2 -> D1 -> A -> R6 -> N) 12. A high-voltage, direct current (HVDC) electric power transmiss
  • 가격 9,500원
  • 등록일 2015.10.08
  • 파일종류 한글(hwp)
  • 직종구분 기타
liposomes, at which the fluorescence signal is 10 times higher than the resulting PDA chips made without the interlinker. We took advantage of this procedure to manufacture PDA liposome based multiplex biosensor arrays for well-known water and food-borne pathogens. The fabricated biosensor was able
  • 가격 3,000원
  • 등록일 2010.09.13
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
top