|
ntity div1 is
port(
clk: in std_logic;
in_data: in integer range 0 to 999;
o_data: out std_logic_vector(15 downto 0);
--o_data_100: out std_logic_vector(3 downto 0);
--o_data_10: out std_logic_vector(3 downto 0);
--o_data_1: out std_logic_vector(3 downto 0);
o_cmplt: out std_logic
);
end div1;
archi
|
- 페이지 50페이지
- 가격 3,500원
- 등록일 2007.12.06
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
프로그램의 flow chart
▶디지털시계 작동 결과물
~별도 첨부 <타이머/카운터를 이용한 디지털시계 설계>
▶이론 및 배경
▶타겟 보드(ATmega128 보드)설명 및 회로도 첨부
▶프로그램 소스파일 분석
▶전체 프로그램의 flow chart
|
- 페이지 10페이지
- 가격 1,500원
- 등록일 2011.12.17
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
Stop watch 기능.
- 설계 -
1. Digital Watch State Diagram
-그림-
2. Key Setting
1) KEY[0] = Reset
2) KEY[1] = Watch Sec, Min, Hour Set Mode Key
3) KEY[2] = Alarm Min, Hour Set Mode Key
3) KEY[3] = Alarm and Watch Value Setting Key
4) SW[0] = Alarm Permission Switch
|
- 페이지 21페이지
- 가격 4,000원
- 등록일 2012.08.14
- 파일종류 압축파일
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
시계 조작의 편리성을 갖춘다. 1. 설계 배경 및 목표
2. 설계 내용과 방법
- 디지털 시계의 구성
- 디지털 시계의 동작
- DOT MATRIX 제어
- GRAPHIC LCD 및 TEXT LCD 제어
- A/D CONVERTER 제어
- Timer Interrupt 제어
3. 설계 결과
- 프로그램 소스 분석
|
- 페이지 58페이지
- 가격 5,000원
- 등록일 2009.02.10
- 파일종류 압축파일
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
때
cursor_count++;
if(cursor_count>100) {//준비화면 설정
cursor_count=0;
if(cursor>=64) cursor=cursor;//준비화면 설정
else cursor++;
}
} else cursor = 0;
display();//도트매트릭스 구현 display 함수 호출
}
. 알고리즘
작품개요
플로어차트
작품사진
소스
|
- 페이지 29페이지
- 가격 25,000원
- 등록일 2013.09.24
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|