6주차-실험17 예비 - 쉬프트 레지스터
본 자료는 3페이지 의 미리보기를 제공합니다. 이미지를 클릭하여 주세요.
닫기
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
  • 9
  • 10
해당 자료는 3페이지 까지만 미리보기를 제공합니다.
3페이지 이후부터 다운로드 후 확인할 수 있습니다.

소개글

6주차-실험17 예비 - 쉬프트 레지스터에 대한 보고서 자료입니다.

목차

실험목적
실험준비물
예비과제
실험
설계 및 고찰

본문내용

이 D->B->C->A 순으로 차례로 쉬프트 된다는 것을 알 수 있습니다.
clock
A
B
C
D
S0
0
1
0
1
1
x
1
1
0
1
1
1
2
1
0
1
1
1
3
1
0
1
1
0
4
1
0
1
1
1
5
1
0
1
1
0
(4) <그림 17.8>의 회로를 구성하고 클럭을 가해가면서 계수회로의 상태표를 작성하라. 주기성이 나타날 때까지 실험하라.
clock
A
B
C
D

1
1
1
1

0
1
1
1

0
0
1
1

0
0
0
1

0
0
0
0
⇒ ABCD플립플롭을 보면 각 Q출력이 다음 플립플롭의 입력으로 연결되어있어서 클락을 주면 우측으로 쉬프트가 됩니다. 실험 시작 후 4clock 후에 출력 A에 1이 입력되어 A->B->C->D->A 순으로 1만 순환하게 됩니다.
(5) <그림 17.9>의 회로를 구성하고, 다음의 과정을 따라 실험하라.
1. A를 low로 하고, CLR을 low에서 high
2. A를 high로 하면 입력에 high가 가해진다.
3. 단일펄스를 1개 인가하면 첫 번째 출력이 나온다. 그후 7개의 단일 펄스를 인가하여 8개의 출력상태를 기록하라.(Clock의 수1~8)
4. A를 low로 하면, 입력에 low가 가해진다.
5. 단일펄스를 8번에 인가하여 8개의 출력상태를 기록하라.(Clock의 수 9~16)
A
clock
Qa
Qb
Qc
Qd
Qe
Qf
Qg
Qh
1
1
1
0
0
0
0
0
0
0
1
2
1
1
0
0
0
0
0
0
1
3
1
1
1
0
0
0
0
0
1
4
1
1
1
1
0
0
0
0
1
5
1
1
1
1
1
0
0
0
1
6
1
1
1
1
1
1
0
0
1
7
1
1
1
1
1
1
1
0
1
8
1
1
1
1
1
1
1
1
0
9
0
1
1
1
1
1
1
1
0
10
0
0
1
1
1
1
1
1
0
11
0
0
0
1
1
1
1
1
0
12
0
0
0
0
1
1
1
1
0
13
0
0
0
0
0
1
1
1
0
14
0
0
0
0
0
0
1
1
0
15
0
0
0
0
0
0
0
1
0
16
0
0
0
0
0
0
0
0
⇒ 쉬프트 레지스터의 기능을 갖는 74164 논리소자의 기능에 대한 실험입니다.
(6) <그림 17.10>의 회로를 구성하고, 단일펄스를 순차적으로 인가해가면서 지시된 점의 출력상태를 기록하라.
SI
CLK
A
B
C
D
0

1
0
0
0
0

1
1
0
0
0

1
1
1
0
0

1
1
1
1
1

0
1
1
1
1

0
0
1
1
1

0
0
0
1
1

0
0
0
0
(7) <그림17.11>의 회로를 연결하라.
Cp단자에 단일 펄스발생기를 접속하라. mode control 단자는 반드시 접지(0)시켜라. 병렬입력 A\',B\',C\',D\'와 직렬입력 x를 +5[V] EH는 접지에 연결하라. 지시된 각 점의 전압을 측정하여라.
mode
control
입력
cp의
인가수
출력
x
D
C
B
A
D
C
B
A
0
0
1
0
0
1
0
x
x
x
x

0
1
0
0
1
0
1
0
0
0
0
0
x
x
x
x
1
0
1
0
0
0
0
x
x
x
x
2
0
0
1
0
0
0
x
x
x
x
3
0
0
0
1
0
0
x
x
x
x
4
0
0
0
0
0
1
x
x
x
x
5
1
0
0
0
0
1
x
x
x
x
7
1
1
0
0
0
0
x
x
x
x
8
0
1
1
0
0
1
1
1
1
1
8
1
0
1
1

0
1
1
1
1
8
1
1
1
1
0
0
x
x
x
x
9
0
1
1
1
0
0
x
x
x
x
10
0
0
1
1
0
0
x
x
x
x
11
0
0
0
1
0
0
x
x
x
x
12
0
0
0
0
0
0
x
x
x
x
13
0
0
0
0
⇒ JK플립플롭을 이용해서, 직렬입력과 병렬입력이 모두 가능한 쉬프트 레지스터를 만든 것입니다.
설계 및 고찰
(1) control 신호가 0이면 4비트 우 쉬프트 레지스터에 저장된 데이터를 rotate시키고 (즉, 4비트 우 쉬프트 레지스터의 마지막 비트의 출력을 입력으로 받아들이는 구조), control 신호가 1이면 새로운 데이터를 In에서 받아 쉬프트 시키는 회로를 설계하라. 단 D 플립플롭과 NAND, NOT만을 사용하여 회로를 구성하라.
(2) 실험 1에서 PRESET=0으로 놓으면 데이터는 SI로 입력되겠는가?
데이터를 쉬프트 시킬 때 PRESET은 어떤 상태로 하여야 하는가?
INPUT
OUTPUT
PRESET
CLEAR
CLK
D
Q
Q\'
0
1
X
X
1
0
1
0
X
X
0
1
0
0
X
X
1
1
1
1

0
0
1
1
1

1
1
0
1
1
0
X
No Change
⇒ PRESET=0으로 놓으면 Q는 SI값에 관계없이 1로 초기화되기 때문에 PRESET에 1을 저장시켜야 합니다.
(3) 실험 4에서 주기는 얼마인가?
⇒ 실험 4에서 클럭의 주기는 100ns인데 그것이 5번 다른 결과가 나오고 그 이후로 반복되므로 주기는
500ns이다.
(4) 이제까지의 실험으로 보아 쉬프트 레지스터는 직렬 데이터와 병렬 데이터간의 데이터 변환기로 보아도 되겠는가?
⇒ 네. 정보를 일시 저장하고 정보를 일시 기억해 회로로 사용되며 멀티비트를 저장할 수 있는 플립플롭을 레지스터라 하는데, 이 레지스터의 기능은 정보를 저장할 뿐만 아니라 직렬입력을 병렬로, 병렬입력을 직렬로 출력하는 기능에도 사용되고 저장된 정보를 클럭펄스에 따라 좌, 우로 이동시키는 시프트 레지스터로도 사용할 수 있기 때문입니다.
(5) 쉬프트 레지스터의 용도를 말하라.
⇒ 쉬프트 레지스터를 이용하면 직렬과 병렬사이의 데이터 변환에 사용할 수 있습니다. 또한 응용한다면 데이터를 제어하는 곳에도 이용할 수 있습니다. 일반적으로 레지스터는 데이터를 저장하는 목적이 주되기 때문에 상태가 순서적인 특성을 갖지는 않습니다. 그러므로 레지스터의 저장능력은 기억 장치에 중요한 요소입니다. 또한 입출력 쉬프트레지스터는 레지스터의 단수와 클럭 주파수에 대한 함수인 입력에서 출력까지 시간-지연을 발생시키는데 사용될 수 있습니다. 이 지연 시간은 클력 주파수를 변화시킴으로써 늘리거나 줄일 수 있습니다. 또한 데이터 비트의 자리이동을 통해 산술 및 논리연산을 수행할 수 있도록 합니다.

키워드

  • 가격1,500
  • 페이지수10페이지
  • 등록일2021.09.08
  • 저작시기2014.10
  • 파일형식한글(hwp)
  • 자료번호#1155310
본 자료는 최근 2주간 다운받은 회원이 없습니다.
청소해
다운로드 장바구니