[논리회로실험] 실험11. MUX & DEMUX 예비보고서
본 자료는 3페이지 의 미리보기를 제공합니다. 이미지를 클릭하여 주세요.
닫기
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
  • 9
  • 10
해당 자료는 3페이지 까지만 미리보기를 제공합니다.
3페이지 이후부터 다운로드 후 확인할 수 있습니다.

본문내용

와 엔코더를 이해한다.
2. 기본 이론
많은 양의 정보를 적은 수의 채널이나 선들을 통하여 전송하는 것으로 N 개의 많은 입력 선들 중에서 선택 선들의 값에 따라 특별한 입력선 하나를 선택하여 출력 선에 연결해주는 데이터 selector이다. 4 * 1 멀티플렉서가 (그림 11-1)에 있다 4개의 입력 선들, 에서 까지 각각은 각 AND 게이트의 한 입력이 된다. 선택선 과 는 특별한 AND 게이트를 선택하기 위해 해독하게 된다. 그림 11-1의 (b)의 함수표는 각 가능한 것이다. 이 MSI 함수가 디지털 시스템 설계에 사용될 때 (c) 그림에 있는 블록도로 표시한다. 회로 작동을 설명하기 위해 = 01일 때를 살펴보자. 입력 와 관련된 AND 게이트를 보면 2개의 입력은 1이 되고 나머지 하나의 입력은 가 된다. 나머지 3개의 다른 AND 게이트들은 적어도 하나의 입력이 0이 된다. 따라서 OR 게이트의 출력은 와 같게 된다. 즉 OR 게이트는 선택된 입력을 출력과 연결시켜 주는 통로 구실을 한다. 멀티플렉스를 이용하면 부울함수에서 임의의 민텀들의 합을 실현할 수 있다.
예를 들어 3변수에 대한 민텀 F(A, B ,C) = (1, 3, 5, 6)의 함수를 MUX의 입력은 0과 1 그리고 n+1변수에서 제어단 변수 n 개를 제외한 나머지 1변수와 그 변수의 complement들의 조합으로 개를 구성한다.
민 텀
변 수
출 력(F)
A B C
0
0 0 0
1
001
2
010
3
011
4
100
5
101
6
110
7
111
(c)진리표
그림 11-2
민텀들에 대해 선택된 변수들의 순서가 ABCD.......라 가정하자 여기서 A는 n 변수들이다. n-1개의 변수를 선택 선에 연결한다. 이 때, B는 가장 높은 선택 선에 연결되고, C는 그 다음의 선택 선에 연결된다. 마지막 변수는 가장 낮은 선택선 에 연결한다. 그럼 변수 A를 생각해 보자 이 변수는 순서대로 정렬된 변수들 중에서 가장 순서가 높은 변수이므로 가장 낮은 민텀들의 목록 중 처음 반은 A'를 가지며 나머지 반은 A를 가진다. 3개의 변수들 A, B, C,의 경우 우리는 8개의 민텀을 가진다. 3개의 변수들 A, B, C의 경우 우리는 8개의 민텀을 가지는데 변수 A는 민텀 0부터 3까지에서 보수로 표현되고, 민텀 4부터 7까지는 그대로 표시된다.
MUX의 입력들을 목록으로 작성하고, 그 입력들 아래 두 행에 모든 민텀들을 첫 행부터 써넣는다. 그림 11-2의 (c)에서 처럼 이때 첫행에 있는 민텀들은 원래 진리표에서 생각해 보면 A'를 가지며 두 번째 행에 있는 민텀들을 A를 가진다. 함수에 포함되어 있는 민텀들은 전부 원으로 둘러싼 뒤, 각 열을 개별적으로 살펴본다.
만일 열에 속하는 두 민텀들이 둘 다 원으로 둘러싸여 있지 않다면 대응되는 MUX 입력은 0이 된다.
만일 열에 속하는 두 민텀들이 둘 다 원으로 둘러싸여 있다면 그 열에 대응되는 MUX 입력은 1이 된다.
만일 아래 민텀만원으로 둘러싸여 있다면, 대응되는 MUX 입력은 A가 된다.
만일 열의 위 민텀만 원으로 둘러싸여 있다면 대응되는 MUX 입력은 A'가 된다.
2. 디멀티플렉서(Demultiplexer)
디멀티플렉서는 정보는 한 선으로 받아서 n bit의 선택 선에 의해서 개의 출력 중 하나를 선택하며 받은 정보를 전송하는 회로이다.
그림 11-3은 2진수를 4진 1지리 decoder를 나타낸 것인데 입력 2bit A, B를 선택선으로 하고 Enable(E)을 입력으로 하면 디멀티플렉서 기능이 수행된다.
입력변수 E는 모든 출력 선으로 통하는 통로들을 가지고 있다. 그러나 입력정보는 와 B의 2진 값에 의해 결정되는 단 하나의 출력 선에만 연결된다. 그에 대한 진리표가 그림 11-3 (b)에 나타나 있다.
예를 들어 선택된 AB=10이면 는 입력 E와 동일하게 되고 다른 모든 출력들은 1이 된다.
그림 11-4는 decoder와 디멀티플렉서 블록 도를 비교하여 나타내었다.
한편 디멀티플렉서에 대한 회로와 블록 도는 그림 11-5와 같다.
3. 실험 기기 및 부품
586급 PC 한 대
FPGA package 1개
Logic tester
oscilloscope
4. 실험 과정
1.다음 그림 11-6과 같이 multiplexer를 구성하시오.
2. 입력 및 선택 선과 Enable을 다음 표 11-1과 같이 가했을 때 출력을 측정하시오,
표 11-1
Y
1
0
0
0
0
0
0
0
1
0
1
0
0
0
1
0
1
1
0
0
0
1
0
0
1
1
1
0
0
1
1
0
1
3. 그림 11-7과 같이 멀티플렉스의 입력을 는 0을, 은 5V를 인가하시오.
4. 실험 3의 회로에 선택선 을 다음과 같이 인가했을 때 출력 Y를 측정하시오.
표 11-2
Y
0
0
0
1
1
0
1
1
1
0
1
1
1
0
1
1
5. 다음 그림 11-8과 같이 디멀티플렉서 회로를 구성하시오.
6. 그림 11-8에서 입력과 선택 선을 다음 표 11-3과 같이 인가할 때 출력을 측정하시오.
표 11-3
입 력 X
선 택 선
출 력
A
B
0
0
0
1
0
0
0
0
1
1
0
1
0
1
0
1
1
0
0
1
1
1
1
1
7. 다음 그림11-9와 같이 Demux를 구현하시오.
8. 그림 11-9의 시험을 통해 다음 표를 완성하시오.
표 11-4
선 택 선
출 력
A1
A2
Y0
Y1
Y2
Y3
0
0
0
1
1
0
1
1
5. 실험 고찰
1. MUX와 DEMUX의 응용분야에 대해 실제 예를 들어가면 기술하시오.
2. 81 MUX와 26 DEMUX를 설계하고, 설계한 회로도의 논리도를 완성하시오.
6. 필요한 결과
표 11-1
Y
1
0
0
0
0
0
0
0
1
0
1
0
0
0
1
0
1
1
0
0
0
1
0
0
1
1
1
0
0
1
1
0
1
표 11-2
Y
0
0
0
1
1
0
1
1
0
0
0
1
1
0
1
1
표 11-3
입 력 X
선 택 선
출 력
A
B
0
0
0
1
0
0
0
0
1
1
0
1
0
1
0
1
1
0
0
1
1
1
1
1
표 11-4
선 택 선
출 력
A1
A2
Y0
Y1
Y2
Y3
0
0
0
1
1
0
1
1

키워드

  • 가격1,000
  • 페이지수10페이지
  • 등록일2010.12.27
  • 저작시기2009.12
  • 파일형식한글(hwp)
  • 자료번호#645099
본 자료는 최근 2주간 다운받은 회원이 없습니다.
청소해
다운로드 장바구니