|
binary counter 000부터 111까지 실습 장면
000
001
010
011
100
101
110
111
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
--입출력 선언부분
entity bin_gray_cnt is
port( clk, rst, mode : in std_logic;
cnt : out std_logic_vector( 2 downto 0 ) );
end bin_gray_cnt;
architecture Behavioral of bin_gray_cnt is
-
|
- 페이지 13페이지
- 가격 1,500원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
다.
(binary 와 gray counter의 특성을 구분할 수 있게 시간차를 나타내주는 역할.)
⑥ reset이 1이므로 회로가 동작하며 mode가 0이므로 gray counter의 동작 특성을 나타낸다.
<Results wave>
(칸 개념으로 구별짓겠습니다.) (알아보기 쉽게 radix를 unsigned로
|
- 페이지 6페이지
- 가격 2,000원
- 등록일 2010.01.18
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
counter
Practice 4: Moore FSM “1011” Sequence Detector
Prob.1: Falling Edge Detector
Falling_Edge_Detector.v source code
module Falling_Edge_Detector(sequence_in,clock,reset,detector_out);
input clock; // clock을 input으로 갖으며
input reset; // reset input을 갖는다
input sequence_in; // binary inpu
|
- 페이지 12페이지
- 가격 5,000원
- 등록일 2023.03.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
카운터 코드(shift counter code), Johnson code
③ 그레이 코드(Gray code) : 입출력 코드나 A/D 변환기 사용
④ 그레이 코드와 2진수의 상호 변환 관계
예) 2진수 (1011)②를 변환
9. 착오(ERROR) 검출 코드의 종류
⑴ 페리티 비트(Parity Bit) 검사
① Error 검색
|
- 페이지 9페이지
- 가격 2,000원
- 등록일 2005.12.15
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
Binary-Gray 코드변환
표 2에서 column A에는 memory location이 있고 column B에는 기억될 데이터 값이 있다. Memory location DCBA는 단지 ME와 WE에 +5V(H)를 연결시킬 때에만 변화한다. 이것은 memory에 적당하지 않은 데이터 값이 들어가는 것을 막고 먼저 기록되
|
- 페이지 9페이지
- 가격 3,000원
- 등록일 2009.01.08
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|