• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 613건

회로가 실제로는 제대로 구현되지 않는 경우가 종종 있었기 때문에 이론과 현실 사이의 갭이 어느 정도이냐가 이번 실험 성공의 관건이 될 것으로 보인다. 1. 목적 2. 실습 준비물 3. 설계실습 계획서 4. 실험에 필요한 이론과 측정
  • 페이지 8페이지
  • 가격 6,300원
  • 등록일 2015.07.31
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
하신 방법으로 회로를 설계하면 문제를 찾기도 훨씬 수월하고, 설계하기도 간편할 것으로 보인다. 다음부터는 깔끔하게 회로를 만들어봐야겠다. 교수님, 조교님 늦은 시간까지 수고 많으셨습니다. 1. 설계실습 내용 및 결과 2. 결론
  • 페이지 6페이지
  • 가격 1,000원
  • 등록일 2013.04.25
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
3. 설계실습 계획서 (1) PWM칩(UC3845)을 이용하여 아래 성능의 PWM 제어 회로를 설계하시오. - 출력전압 : 0V ~ 15V (peak to peak) - 스위칭 주파수 f : 12.5㎑ - 스위칭 주파수는 RT/CT 단자의 저항과 커패시터의 시정수로서 결정하며, 데이터 시트를 참조
  • 페이지 5페이지
  • 가격 1,000원
  • 등록일 2010.03.30
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계실습 3. Switching Mode Power Supply (SMPS) (1) PWM 제어 회로. Pulse Width Modulation 이란 위의 그림과 같이 어떤 임의의 아날로그 파형(그림에서는 사인파)을 톱니파형을 통해서 아래의 0과 1로 이루어진 디지털 파형으로 바꾸는 것을 뜻한다. - 위의
  • 페이지 4페이지
  • 가격 1,000원
  • 등록일 2010.03.30
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로 3.3.2 미분 회로 3.3.3 삼각파 발생 회로 3.3.4 오차 증폭기 3.3.5 비교기 3.3.6 스위치 구동 회로 3.3.7 Duty 별 최종 스위치 신호 파형 3.3.8 Converter 3.4 최종 회로도 4. 결론 4.1 Vi=10V일 때 부하저항 및 Duty ratio 에 따른 Data 4.2 출력전압 Ripple
  • 페이지 27페이지
  • 가격 3,000원
  • 등록일 2006.03.27
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 8건

회로도 및 도면 11 4.1 조작부 11 4.1.1 전체 11 4.1.2 ATmega128 12 4.1.3 JTAG Port 13 4.1.4 Power(+3.3v) 13 4.1.5 RF(Zigbee) 14 4.1.6 TFT-LCD 14 4.2 동작부 15 4.2.1 전체 15 4.2.2 ATmega128 16 4.2.3 RF(Zigbee) 17 4.2.4 Servo
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
2. 다중접속기술과 CDMA 3. CDMA 네트워크 구성 제2절 TDMA 개요 1. TDMA란 2. TDMA의 성능 제3절 CDMA와 TDMA의 비교를 통한 디지털 이동통신 시스템 관련 기술동향 1. TDMA방식 2. CDMA방식 3. CDMA와 TDMA의 비교 제3장. 결론 제4장. 참고문헌
  • 페이지 20페이지
  • 가격 5,000원
  • 발행일 2008.02.10
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
2. 다중접속기술과 CDMA 3. CDMA 네트워크 구성 제2절 TDMA 개요 1. TDMA란 2. TDMA의 성능 제3절 CDMA와 TDMA의 비교를 통한 디지털 이동통신 시스템 관련 기술동향 1. TDMA방식 2. CDMA방식 3. CDMA와 TDMA의 비교 제3장. 결론 제4장. 참고문헌
  • 페이지 20페이지
  • 가격 10,000원
  • 발행일 2008.02.10
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로 및 설명   2.5.1 Distortion - 디스토션   2.5.2 Overdrive와 Distortion의 차이   2.5.3 Clean Boost - 클린 부스트   2.5.4 Fuzz - 퍼즈   2.5.5 Delay - 딜레이   2.5.6 추가 옵션   2.5.7 앰프부 - Mini Amp   2.5.8 임피던스   2.5.9 앰프부 -
  • 페이지 33페이지
  • 가격 7,000원
  • 발행일 2012.12.13
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
및 소형화가 유리하다는 장점을 갖고 있기 때문이다. 또한 BLDC 모터는 변속제어가 용이하다는 특징으로 많은 분야에서 그 수요가 날로 증가하고 있다. 이 논문을 통해 앞으로 더 유용하게 사용될 BLDC 모터에 대한 고찰에 유용하게 사용되어질
  • 페이지 54페이지
  • 가격 9,000원
  • 발행일 2009.01.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 9건

설계하여 심화된 역량을 길렀습니다. 특히 프로그래밍의 재미를 느꼈던 ‘디지털 회로설계 및 언어’에서 verilog 언어를 이용해 Quartus로 디지털회로를 설계하여 좋은 성적을 받았고, ‘기초회로실험’을 들으면서 Pspice로 아날로그회로도 설
  • 가격 3,000원
  • 등록일 2023.02.07
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
아날로그 및 디지털 회로를 학습했고 연구 활동을 진행하면서는 여러 가지 센서를 통한 회로설계와 논문작성을 하였습니다. 둘째, 저는 프로그래밍 언어 툴 사용에 익숙합니다. 소모임 활동을 통해 C언어교육을 받았고 새롭게 들어오는 후배
  • 가격 3,000원
  • 등록일 2023.06.15
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
및 Synopsys Custom Compiler를 활용하여 과제를 수행한 경험이 있습니다. 그 외에도 IDEC등 외부 강의를 수강하며 회로설계 및 반도체 8대 공정에 대해 지식을 쌓았습니다. 현재는 학부 수업을 들으면서 VLSI회로설계, 아날로그 집적회로, 반도체 프로
  • 가격 3,000원
  • 등록일 2023.02.21
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
설계에 관한 기초지식과 설계 툴 사용에 관한 스터디를 하였고 다양한 회로에 대한 세미나를 열었습니다. 그리고 방학을 통해 개설되는 다양한 강좌를 수강하고 실습을 하면서 역량을 키울 수 있었습니다. 이러한 연구실 활동 속에서도 전공
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
[프로그래밍및실습/A+] C 언어로 프로그래밍을 학습. [전자회로및설계(1)/A+] 전자의 전반적인 이해와 기본적인 회로를 설계 하였습니다. [공업수학/A+] 전기관련분야를 이해하기 위한 과정을 학습. [디지털공학/A+] 순서논리회로의 분석 및 설계
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
top