• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 926건

회로가 실제로는 제대로 구현되지 않는 경우가 종종 있었기 때문에 이론과 현실 사이의 갭이 어느 정도이냐가 이번 실험 성공의 관건이 될 것으로 보인다. 1. 목적 2. 실습 준비물 3. 설계실습 계획서 4. 실험에 필요한 이론과 측정
  • 페이지 8페이지
  • 가격 6,300원
  • 등록일 2015.07.31
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
하신 방법으로 회로를 설계하면 문제를 찾기도 훨씬 수월하고, 설계하기도 간편할 것으로 보인다. 다음부터는 깔끔하게 회로를 만들어봐야겠다. 교수님, 조교님 늦은 시간까지 수고 많으셨습니다. 1. 설계실습 내용 및 결과 2. 결론
  • 페이지 6페이지
  • 가격 1,000원
  • 등록일 2013.04.25
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
C1을 포함하여 3비트를 더할 수 있는 전가산기를 실제로 설계해보고 동작을 확인해 봄으로써 조합 논리 회로를 이해할 수 있게 될 것이다. 1. 목적 2. 준비물 3. 설계실습 계획서 4. 실험에 필요한 이론과 측정 예상 값 5. 결론
  • 페이지 6페이지
  • 가격 6,300원
  • 등록일 2015.07.31
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디지털 회로의 출력은 2진수이고 7-segment LED는 10진수 표현 방식을 따르기 때문에 이를 설계한 7-segment/Decoder의 진리표와 같은 동작을 만들기 위해서 디코더가 사용된다. 설계실습계획서 (1)번에서 작성한 진리표를 카르로 맵을 사용해서 불리언
  • 페이지 7페이지
  • 가격 6,300원
  • 등록일 2015.07.31
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
BCD → 7-segment(MC 14511B) 연결해 주고, 두 번째 BCD 카운터(74LS192P)와 BCD → 7-segment(MC14511B) 이것에 AND 게이트를 첨부하여 6진 카운터를 제작할 수 있을 것이다. 1. 목적 2. 실습 준비물 3. 설계실습 계획서 4. 실험에 필요한 이론 4. 결론
  • 페이지 5페이지
  • 가격 6,300원
  • 등록일 2015.07.31
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 11건

및 소형화가 유리하다는 장점을 갖고 있기 때문이다. 또한 BLDC 모터는 변속제어가 용이하다는 특징으로 많은 분야에서 그 수요가 날로 증가하고 있다. 이 논문을 통해 앞으로 더 유용하게 사용될 BLDC 모터에 대한 고찰에 유용하게 사용되어질
  • 페이지 54페이지
  • 가격 9,000원
  • 발행일 2009.01.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
기본개념과 동작원리 5. Chage Pump (전하펌프)의 기본개념과 동작원리 6. VCO (전압제어 발진기) 기본개념과 동작원리 7. VCDL (전압제어 지연단) 기본개념과 동작원리 8. DLL 구현 및 시물레이션 9. 결론 ♦ 참고문헌
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
및 과정 3장. 개념설계 및 상세설계 3장. 1절 시스템블럭도 3장. 2절 관련기술분석 및 하드웨어 설계 3장. 2절. 1 전체회로도 3장. 2절. 2 MCU(ATmega128) 3장. 2절. 3 입출력 포트 3장. 2절. 4 입출력 포트를 제외한 나머지 Pin 3장. 2절. 5 DS1302
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로 및 설명   2.5.1 Distortion - 디스토션   2.5.2 Overdrive와 Distortion의 차이   2.5.3 Clean Boost - 클린 부스트   2.5.4 Fuzz - 퍼즈   2.5.5 Delay - 딜레이   2.5.6 추가 옵션   2.5.7 앰프부 - Mini Amp   2.5.8 임피던스   2.5.9 앰프부 -
  • 페이지 33페이지
  • 가격 7,000원
  • 발행일 2012.12.13
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로도 및 도면 11 4.1 조작부 11 4.1.1 전체 11 4.1.2 ATmega128 12 4.1.3 JTAG Port 13 4.1.4 Power(+3.3v) 13 4.1.5 RF(Zigbee) 14 4.1.6 TFT-LCD 14 4.2 동작부 15 4.2.1 전체 15 4.2.2 ATmega128 16 4.2.3 RF(Zigbee) 17 4.2.4 Servo
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 48건

설계하여 심화된 역량을 길렀습니다. 특히 프로그래밍의 재미를 느꼈던 ‘디지털 회로설계 및 언어’에서 verilog 언어를 이용해 Quartus로 디지털회로를 설계하여 좋은 성적을 받았고, ‘기초회로실험’을 들으면서 Pspice로 아날로그회로도 설
  • 가격 3,000원
  • 등록일 2023.02.07
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
아날로그 및 디지털 회로를 학습했고 연구 활동을 진행하면서는 여러 가지 센서를 통한 회로설계와 논문작성을 하였습니다. 둘째, 저는 프로그래밍 언어 툴 사용에 익숙합니다. 소모임 활동을 통해 C언어교육을 받았고 새롭게 들어오는 후배
  • 가격 3,000원
  • 등록일 2023.06.15
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
및 Synopsys Custom Compiler를 활용하여 과제를 수행한 경험이 있습니다. 그 외에도 IDEC등 외부 강의를 수강하며 회로설계 및 반도체 8대 공정에 대해 지식을 쌓았습니다. 현재는 학부 수업을 들으면서 VLSI회로설계, 아날로그 집적회로, 반도체 프로
  • 가격 3,000원
  • 등록일 2023.02.21
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
설계에 관한 기초지식과 설계 툴 사용에 관한 스터디를 하였고 다양한 회로에 대한 세미나를 열었습니다. 그리고 방학을 통해 개설되는 다양한 강좌를 수강하고 실습을 하면서 역량을 키울 수 있었습니다. 이러한 연구실 활동 속에서도 전공
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
[프로그래밍및실습/A+] C 언어로 프로그래밍을 학습. [전자회로및설계(1)/A+] 전자의 전반적인 이해와 기본적인 회로를 설계 하였습니다. [공업수학/A+] 전기관련분야를 이해하기 위한 과정을 학습. [디지털공학/A+] 순서논리회로의 분석 및 설계
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
top