• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 87,800건

입력하는 장치), 마우스(GUI 방식의 입력장치), 스캐너(화상 입력 장치), 디지털 카메라(촬영 이미지 입력) 등이 있다. 출력장치는 프린터(문자 프린터, 라인 프린터, 레이지 프린터, X-Y플로터), 컴퓨터 단말기가 있다. 중앙처리장치(CPU)는 컴퓨터
  • 페이지 15페이지
  • 가격 5,000원
  • 등록일 2018.03.31
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
바코드 판독기, 마이크 등이 있다. 출력장치는 모니터(화면으로 정보를 영상으로 출력), 프린터(용지에 인쇄), 플로터(상하좌우 움직이는 펜 사용하여 설계도면 등 인쇄), 음성 합성 장치가 등이 있다. 중앙처리장치(CPU)에는 제어장치(컴퓨터에
  • 페이지 13페이지
  • 가격 5,000원
  • 등록일 2018.04.03
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
, 2011 이언배, 곽덕훈, 김강현, 손진곤, 이병래, 컴퓨터의 이해, 한국방송통신대학교출판부, 2013 1, 입력장치 2, 출력장치 3, 기억장치 4, 중앙처리장치 CPU 5, 2차원 바코드의 개념과 사용사례6, 네이버 QR코드로 QR코드 만들기 참고자료
  • 페이지 11페이지
  • 가격 5,000원
  • 등록일 2018.04.03
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
1페이지 이내로 서술하라. 1.1. 개인용 컴퓨터 선정 1.2. 선정된 컴퓨터의 입력장치, 출력장치, 중앙처리장치, 기억장치에 대하여 자세히 설명 2. 2차원바코드에 대하여 다음의 내용을 A4 용지 1페이지 이내로 서술하라. 2.1. 2종류 이상의 2차원
  • 페이지 6페이지
  • 가격 3,300원
  • 등록일 2018.03.21
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
이해를 돕기 위하여 각 장치에 대한 해당 그림이나 사진을 설명문 본문에 각 각 한 장씩만 덧붙인다(총4장). 1. 개인용 컴퓨터 선정 노트북을 개인용 컴퓨터로 선정하였다. 2. 노트북에서 입력장치, 출력장치, 중앙처리장치, 기억장치
  • 페이지 9페이지
  • 가격 7,300원
  • 등록일 2018.03.12
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 436건

10 2.1.3. Servomotor 12 2.2. 구현내용 14 2.2.1. 전체 시스템의 구성 14 2.2.2. Host Program의 구현 15 2.2.3. AVR mega128 회로 구성 26 2.2.4. AVR ATmega 128 serial 통신 및 pulse 출력 programming 28 2.2.5. 기본 프레임 및 외형 31 3. 결 과 31 3.1. 구현방식 및 기능설명 3
  • 페이지 38페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자
1.1. 동기 및 개요 ----------------- 1.2. 목적 ----------------- 1.3. 새로운 시스템 ----------------- 2. 본론 ----------------- 2.1 기본 원리 및 구성 ----------------- 2.2 AVR의 특성 ----------------- 2.3 최소 자승법 ----------------- 2.4 일반적 영상 처리 ----------------
  • 페이지 67페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자
2004 박정훈, “컴퓨터프로그램보호을 위한행정법규 제도”, 행정법이론실무학회, 서울대학교 근대법 학교육 100주년 기념관, 2002 송영식이상정황종환, 지적소유권법(상), 육법사, 1999 오승종이해완, 저작권법, 박영사, 1999 이기수 외 6인, 지적
  • 페이지 25페이지
  • 가격 3,500원
  • 발행일 2006.10.12
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
출력하게 되었으며, 위에 출력된 값은 일반적인 수치이며, 이것을 20*log를 취할 경우 데시벨[dB]로 표현 할 수 있다. 3. 출력 영상 ※ 주어진 원 이미지와 파일 출력된 이미지, 8*8 블록의 입력과 출력 이미지 foreman176_144_0.raw (원 이미지) Out_img0.raw
  • 페이지 16페이지
  • 가격 2,000원
  • 발행일 2011.05.30
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
1] 권준식외 7명 공역, “디지털 영상처리 이론 및 응용”, 홍릉과학출판사, 2002년 3월. [2] 이문호, “visual c++ 실용 영상 신호처리”, 대영사, 2001년 6월. [3] 정성환, 이문호 공저, “영상처리 이해와 활용”, 영한출판사, 2003년. [4] 최영일, 이근수
  • 페이지 24페이지
  • 가격 5,000원
  • 발행일 2012.07.23
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 2,059건

128,2560을 활용하여 하드웨어 개발 경험과 사외교육으로 STM32-Entry Board를 이용한 SW개발환경 구축, 코드 생성 및 빌드 결과물에 대한 개념 이해를 하였으며 GPIO, ADC, UART 제어방법 등 IDE 상에서 장비를 이용한 다운로드 및 디버깅 실습을 통해 MCU
  • 가격 4,500원
  • 등록일 2023.07.30
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
) 과 고객들에게 입금처리 (교통보조금,급여 등)를 위한 작업을 담당자 PC에서 간단한 조작으로 뱅킹 전산망을 통하여 은행으로 송신한 후, 처리결과를 다시 뱅킹 망을 통하여 수신 및 관리할 수 있도록 한 편리한 자동이체서비스 
  • 가격 2,000원
  • 등록일 2023.01.21
  • 파일종류 한글(hwp)
  • 직종구분 기타
웹 마스터라기 보다는 팀조직으로 구성된 웹마스터의 일원이라고 할 수 있습니다. 이렇게 이런 자격증 및 프로그램을 다룰 줄 압니다. 1. 성장배경 2. 성격의 장점 및 보완점 3. 외국어 능력 4. 생활신조 5. 생활사항 6. 경력사항 ....
  • 가격 3,000원
  • 등록일 2012.04.13
  • 파일종류 한글(hwp)
  • 직종구분 IT, 정보통신
128,2560을 활용하여 하드웨어 개발 경험과 사외교육으로 STM32-Entry 보드를 이용한 SW개발환경 구축, 코드 생성 및 빌드 결과물에 대한 개념 이해를 하였으며 IDE 상에서 장비를 이용한 다운로드 및 디버깅 실습을 통해 MCU를 이용한 디바이스 제어
  • 가격 3,500원
  • 등록일 2021.07.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
128,2560을 활용하여 하드웨어 개발 경험과 사외교육으로 STM32-Entry 보드를 이용한 SW개발환경 구축, 코드 생성 및 빌드 결과물에 대한 개념 이해를 하였으며 IDE 상에서 장비를 이용한 다운로드 및 디버깅 실습을 통해 MCU를 이용한 디바이스 제어
  • 가격 3,500원
  • 등록일 2021.07.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직

파워포인트배경 3건

가격 : 29,900원 (-6,900원)
할인가 : 23,000원(36페이지)
가격 : 46,800원 (-10,800원)
할인가 : 36,000원(36페이지)
가격 : 8,450원 (-1,950원)
할인가 : 6,500원(5페이지)
top