• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 2,107건

세그먼트 진리표 (2) Use Karnaugh maps to derive logic equations in sum-of-products or product-of-sums form depending on whether NAND gates or NOR gates are required. 카노맵구현 (3) Enter the truth table into LogicAid, derive the logic equations, and check the answers against the results of ste
  • 페이지 15페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
1 0 0 0 0 1 1 0 1 1 0 1 1 1 1 1 0 0 1 0 1 1 0 0 1 1 1 0 1 1 0 1 1 0 0 1 1 1 1 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 1 1 0 0 1 1 x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x 위 그림에 따라 입력이 A, B, C, D인 7-세그먼트 표시기의 진리표는 다음과 같다. 이 진
  • 페이지 8페이지
  • 가격 1,800원
  • 등록일 2012.04.15
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
세그먼트는 0을 표시하게 될것이다. ④기타: 브레드보드의문제,회로결선의문제,7세그먼트디스플레이의문제,7447디코더의 문제등 여러 가지를 부수적으로 생각을 해볼수도 있었다. 그러나 위의 4가지 문제는 1000입력(10진수8)된 상태에서 세그
  • 페이지 13페이지
  • 가격 1,500원
  • 등록일 2015.03.22
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
1 0 0 0 0 1 1 0 1 1 0 1 1 1 1 1 0 0 1 0 1 1 0 0 1 1 1 0 1 1 0 1 1 0 0 1 1 1 1 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 1 1 0 0 1 1 x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x 위 그림에 따라 입력이 A, B, C, D인 7-세그먼트 표시기의 진리표는 다음과 같다. 이 진
  • 페이지 8페이지
  • 가격 1,800원
  • 등록일 2013.07.08
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
떤 영향을 줄지 설명하여라. RBI와 LT에는 High가 입력되고 있지만 BI/RBO 입력선을 접지로 단락 시키면 Low가 입력된다. 함수표를 보게 되면 이러한 경우 a~g단자엔 High가 출력되어 7-세그먼트에는 아무것도 디스플레이 되지 않을 것이다. 5. 다음 표
  • 페이지 5페이지
  • 가격 1,300원
  • 등록일 2013.07.08
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 17건

세그먼트 깜빡거림으로 표시 - 1개 세그먼트 단위로 셋팅 기능 3) 스톱워치모드 - 스톱워치 시작/정지 기능 - 최대 50명까지 메모리 기능 - 리셋기능 4) 알람모드 - 알람시간 셋팅할 세그먼트 깜빡거림
  • 페이지 16페이지
  • 가격 12,000원
  • 발행일 2009.06.15
  • 파일종류 압축파일
  • 발행기관
  • 저자
디지털 영상공학”, 아진, 1999. [4] 윤성우, “TCP/IP 소켓 프로그래밍”, 프리렉, 2002. [5] 서진택, “윈도우 프로그래머를 위한 MFC 구조와 원리”, 한빛 미디어, 2005. [6] 신화선, “윈도우 프로그래밍 Visual C++ MFC Programming”, 한빛 미디어, 2003. [7] 김
  • 페이지 58페이지
  • 가격 2,000원
  • 발행일 2007.11.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
디지털 가전, 생명공학, 소프트웨어, 전자상거래 등을 선정하였다. 을 선정하여 집중적으로 육성해야 한다. 원천기술의 개발을 위한 연구개발 활동의 강화, IT와 결합한 제조업의 소프트화도 필수적이다. FTA로 경쟁력이 취약해진 산업에서는
  • 페이지 36페이지
  • 가격 3,000원
  • 발행일 2008.01.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
2. 다중접속기술과 CDMA 3. CDMA 네트워크 구성 제2절 TDMA 개요 1. TDMA란 2. TDMA의 성능 제3절 CDMA와 TDMA의 비교를 통한 디지털 이동통신 시스템 관련 기술동향 1. TDMA방식 2. CDMA방식 3. CDMA와 TDMA의 비교 제3장. 결론 제4장. 참고문헌
  • 페이지 20페이지
  • 가격 5,000원
  • 발행일 2008.02.10
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
2. 다중접속기술과 CDMA 3. CDMA 네트워크 구성 제2절 TDMA 개요 1. TDMA란 2. TDMA의 성능 제3절 CDMA와 TDMA의 비교를 통한 디지털 이동통신 시스템 관련 기술동향 1. TDMA방식 2. CDMA방식 3. CDMA와 TDMA의 비교 제3장. 결론 제4장. 참고문헌
  • 페이지 20페이지
  • 가격 10,000원
  • 발행일 2008.02.10
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 75건

디지털공학을 잇는 시스템 관련요소기술을 충족시킬 수 있는 기술능력이 필요하다고 생각합니다. 이러한 능력을 위해 저는 제어공학, PLC제어 등의 실습 경험을 쌓으면서 깊이 있는 자동화설비 분야의 기술력과 응용력을 동시에 발전시킬 수
  • 가격 3,000원
  • 등록일 2020.03.27
  • 파일종류 한글(hwp)
  • 직종구분 기타
[프로그래밍및실습/A+] C 언어로 프로그래밍을 학습. [전자회로및설계(1)/A+] 전자의 전반적인 이해와 기본적인 회로를 설계 하였습니다. [공업수학/A+] 전기관련분야를 이해하기 위한 과정을 학습. [디지털공학/A+] 순서논리회로의 분석 및 설계
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
디지털신호처리공학을 수강하며 디지털 필터를 이용한 음성 신호처리 프로젝트를 한 경험이 있습니다. MATLAB을 이용하여 잡음과 함께 녹음된 음성 신호의 잡음을 제거하고 소음제거의 한계 및 소음제거 방법을 제안하는 것이 목표였습니다.
  • 가격 3,000원
  • 등록일 2023.02.10
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
공학 연구의 중요성이 커질 것입니다. 저는 대학에 진학하여 디지털 전자제품의 진화에 발맞추어 사용자의 행태가 디지털 신호로 잘 인식할 수 있도록 전기전자공학을 연구하고 싶습니다. 그리하여 디지털제품과 우리를 소통시켜줄 아날로
  • 가격 2,000원
  • 등록일 2019.07.21
  • 파일종류 한글(hwp)
  • 직종구분 기타
공학에 대한 제 관심과 열정이 더욱 깊어졌습니다. 대학교에서의 학업은 제 지식을 전기 및 전자공학의 여러 분야로 확장하는 데 도움이 되었습니다. 여기서 저는 전자 회로 설계, 디지털 시스템, 신호 처리, 그리고 임베디드 시스템에 대한
  • 가격 4,000원
  • 등록일 2024.01.14
  • 파일종류 워드(doc)
  • 직종구분 기타

파워포인트배경 1건

가격 : 29,900원 (-6,900원)
할인가 : 23,000원(36페이지)
top