• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 7,568건

이용해서도 나타내는 것을 알고 있는 것과 모르는 것의 차이가 있다는것도 알아 두는 실험이 되었다. 간단한것만 찾다 보면 어려운 문제를 받아들이기 어렵기 때문이다. 3-2 실습 목표 BCD - 7세그먼트란..? 1)논리 회로 설계 2)compile을
  • 페이지 5페이지
  • 가격 13,860원
  • 등록일 2012.11.30
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로로 구현함으로서 Decoder와 7-segment를 이용한 7-segment 구동 회로가 정상적으로 잘 동작하는지 확인하는 것이 이번 실험에서의 목표이다. 1. 목적 2. 실습 준비물 3. 설계실습 계획서 4. 실험에 필요한 이론과 측정 예상 값 5. 결론
  • 페이지 7페이지
  • 가격 6,300원
  • 등록일 2015.07.31
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
더 간결하다. (자세한 설명은 생략) 3. 검증 NAND로 구성한 조합회로의 입력값과 출력값이 진리표와 일치하는지 확인해보자. 1. 분석 2. 설계  1) 진리표 작성  2) 카노맵 작성  3) NAND-NAND 회로의 표현  4) NOR-NOR 회로의 구현 3. 검증
  • 페이지 8페이지
  • 가격 1,800원
  • 등록일 2012.04.15
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
555 타이머를 이용한 10진 업 카운터 설계 개 요 ━━━━━━━━━━─────────… 555 타이머       ↳ 업 카운터 BCD-to-7세그먼트 디코더↵   ↳7-세그먼트 〈1〉555 타이머 ━━━━━━
  • 페이지 28페이지
  • 가격 3,300원
  • 등록일 2012.04.15
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
74LS157) 그림 4-5 역다중화기(74LS155) ○ Reference 1. http://princess.kongju.ac.kr/DigitalMain/framekor.htm 2. 디지털논리와 컴퓨터설계, 황희융, 1986 3. 디지털전자회로, 탑출판사, 1982 4. 디지털논리설계기초, 에드텍, 1994 ○ 디코더(Decoder) ○ 7세그먼트 ○ 인
  • 페이지 6페이지
  • 가격 1,000원
  • 등록일 2011.05.02
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 88건

이용한 설계 80 Verilog-HDL을 이용한 설계 20 Simulink를 이용한 시뮬레이션 20 Simulink를 이용한 시뮬레이션 80 <표5-2. 비용> 항목 세부항목 소요비용 재료비 시제품가공비 기타 경비 목차 1장. 작품과제 필요성 2장. 작품과제 해결 방안 및
  • 페이지 24페이지
  • 가격 30,000원
  • 발행일 2009.12.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계 22 제 1 절 연구 가설 설정 22 제 2 절 조사 설계 23 1. 설문지의 구성 23 2. 분석의 방법 24 제 3 절 연구 가설 설정 25 제 4 장 실증 분석 26 제 1 절 조사대상자의 특성 26 1. 일반적 특성 26 2. 이용행태에 관한 특성 27 제 2 절 변
  • 페이지 41페이지
  • 가격 4,000원
  • 발행일 2008.06.25
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
7] 임승철, 용대중, 유연한 수평 다관절 로봇의 진동제어, 한국소음진동공학학회지 7권 제 3호, 1997, pp 387~392 1. 서 론 2. 시스템 구성 및 설계 2.1 시스템의 동작 2.2 시스템 구성도 2.2.1 마이크로프로세서 구동회로 2.2.2 센서와 모터 구동
  • 페이지 7페이지
  • 가격 2,500원
  • 발행일 2010.02.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계와 동시에 해석을 하는 프로그램은 DAFUL, 이 외에 국내에서 1개뿐이다. 그만큼 희소성이 크고 발전가능성이 있는 프로그램이라고 할 수 있다. 그러나 출시가 된지 얼마 안 되었기에 잦은 패치와 참고할 만한 서적과 자료가 부족하다. 아
  • 페이지 43페이지
  • 가격 5,000원
  • 발행일 2012.06.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
77 ~ p.479 VCO . <7> 윤 정 배, “두 개의 DLL을 이용한 pulse shrinking delay line 제어회로”, 인하대 대학원 (2004) 석사 논문 , 국회도서관 DLL 석사논문 자료실. <8> 류 영 수, 락킹 상태 표시기를 이용한 지연 고정 루프 기반의 클록 합성기 설계,
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 40건

담당 교수 프로젝트 명칭 2학년 2학기 디지털회로실험설계 / 이 진 교수님 로또 번호 발생기 주요 내용 ◎ 목 적 : 7-Segment와 7-Segment 디코더를 이용한 번호발생회로 구현 ◎ 설 명 : 7-Segment와 7-Segment 디코더를 이용하여 1~45까지의 번호를 출력하
  • 가격 3,500원
  • 등록일 2014.03.26
  • 파일종류 한글(hwp)
  • 직종구분 전문직
설계법의 발전 방향이라 할 수 있다. ---------------------- 7 허용응력설계법과 극한강도설계법 7.1 허용응력설계법 허용응력설계는 탄성해석에서 작용하중에 의한 부재의 거동은 각 하중을 개별적으로 작용시켜 그 효과를 합친 것과 같은 중첩
  • 가격 1,000원
  • 등록일 2016.03.30
  • 파일종류 한글(hwp)
  • 직종구분 기타
7. Linguistic Skills (max.100) 8. Computer Skills (max.100) 9. Others (max.100) 10. Self Introduction (max.500) 하이닉스 1. 성장과정 및 학창시절(600) 2. 하이닉스 및 해당분야 지원동기(600) 3. 본인의 성격 및 생활신조(600) 4. 해외연수 및 사회활동(인턴,봉사)(600)
  • 가격 8,000원
  • 등록일 2009.08.05
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
7. 관심분야 및 입사 후 포부(경험, 전공분야 등 연계/연구개발의 경우 세부전공 기재) (200자) [녹색 철강을 선도하다.] 8. 현대제철의 인재상(도전,창의,전문,친화)과 관련, 가장 열정적으로 임했던 일과 그 일을 통해 이룬 성과에 대해 상세
  • 가격 1,300원
  • 등록일 2013.08.13
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
7) 졸업 후 해당분야에 헌신하고자 하는 열의를 보여라. 예를 들면 경영학과에 지원하였는데 장래희망은 사법고시에 합격하여 변호사가 된다고 하면 마이너스가 된다. 생명 공학과에 지원했으면 연구소에 들어가 훌륭한 생명 공학자가 되겠
  • 가격 3,000원
  • 등록일 2015.03.16
  • 파일종류 한글(hwp)
  • 직종구분 교육 강사직
top