• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 7,480건

제1장 컴퓨터와 디지털 논리회로 1. 디지털 시스템 (1) 시스템의 정의 (2) 아날로그와 디지털 1) 데이터의 표현 방법 ① 아날로그 방식: 데이터를 연속적인 값(continuous value)으로 표현 ② 디지털 방식: 연속적인 값을 근사하여 이산적인 값(disc
  • 페이지 68페이지
  • 가격 7,500원
  • 등록일 2012.06.20
  • 파일종류 아크로벳(pdf)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
제1장 컴퓨터와 디지털 논리회로 1. 디지털 시스템 1) 시스템의 정의 (1) 검은 상자형 시스템 ① 입력과 출력을 갖는 검은상자로 표현 ② 시스템의 입력과 출력에만 관심을 갖고 시스템을 고려 (2) 구성요소 집합으로서 시스템 ① 검은 상자
  • 페이지 76페이지
  • 가격 9,900원
  • 등록일 2019.05.28
  • 파일종류 아크로벳(pdf)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디지털 시스템의 장점 ① 편리성: 데이터가 숫자로 입 · 출력 ② 융통성: 실행순서의 조정이 가능 ③ 단순성: 시스템 설계가 단순 ④ 안정성: 0과 1로 유지되므로 높은 안정성 ⑤ 견고성: 잡음 등에 강함 ⑥ 정확성: 논리적인 처리로 정확
  • 페이지 69페이지
  • 가격 7,500원
  • 등록일 2012.12.06
  • 파일종류 아크로벳(pdf)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
제1장 컴퓨터와 디지털 논리회로 1. 디지털 시스템 1) 시스템의 정의 (1) 검은 상자형 시스템 ① 입력과 출력을 갖는 검은상자로 표현 ② 시스템의 입력과 출력에만 관심을 갖고 시스템을 고려 (2) 구성요소 집합으로서 시스템 ① 검은 상자
  • 페이지 29페이지
  • 가격 6,000원
  • 등록일 2021.04.19
  • 파일종류 아크로벳(pdf)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
제1장 컴퓨터와 디지털 논리회로 1. 디지털 시스템 1) 시스템의 정의 (1) 검은 상자형 시스템 ① 입력과 출력을 갖는 검은상자로 표현 ② 시스템의 입력과 출력에만 관심을 갖고 시스템을 고려 (2) 구성요소 집합으로서 시스템 ① 검은 상자
  • 페이지 29페이지
  • 가격 5,500원
  • 등록일 2019.05.14
  • 파일종류 아크로벳(pdf)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 23건

논리과정을 설명하는 데 주안점을 두었으며, 후반부에 실제 사용한 회로도 및 프로그램을 수록하였습니다. , Ⅱ 본론 2.1 기본 원리 (1) 주차 시뮬레이션 1) 주차 공간에 대한 데이터를 로드 한다. (실제 구현의 경우 주차 공간에 대한 데이
  • 페이지 40페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자
디지털타임스 http://www.dt.co.kr 주제별 참고문헌 □ 인터넷전화의 정부정책과 과제 ○ 변정욱, 김남심, 김민정(2005), “인터넷전화 제도화 관련 주요이슈 및 제도 설명”, KISDI 이슈리포트 ○ 권오상, “국내외 인터넷전화 정책동향 및 시사점”,
  • 페이지 50페이지
  • 가격 3,300원
  • 발행일 2009.05.05
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
울릴때 계속 안누르면 50번까지만 울리고 자동 해제 기능. - 1개 세그먼트 단위로 알람시간 셋팅 기능 입니다... 첨부파일에는 프로그램소스, 보고서한글파일, 보고서 PPT파일, 하드웨어 사진, 회로도 등이 포함되어 있습니다. 
  • 페이지 16페이지
  • 가격 12,000원
  • 발행일 2009.06.15
  • 파일종류 압축파일
  • 발행기관
  • 저자
회로기판 제외) < 동작부 앞모습 > < 동작부 뒷모습 > < 동작부 옆모습 > < 동작부 아래모습 > [그림 5-3] 동작부 몸통 제 6 장 결 론 소형 무인 탐사 로봇을 4개월에 걸쳐 제작을 해보았다. 직접 로봇을 시험해 보니 송신부에서 수
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
디지털 액자 제작을 위한 하드웨어 구현과 소프트웨어를 설계하였다. 디지털액자를 설계하기까지 많은 시행착오가 있었다. MCU 회로 설계 과정, RS-232 통신 문제 등 이런 문제들을 해결하기 위해 인터넷에서 조사한 회로를 보고 우선 회로에 대
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 170건

논리대결'에 참여하여 2연승을 차지하기도 하였습니다. 이런 저의 열정과 에너지를 대우조선해양에서 발산하고 앞서 말씀드린 저의 비전을 함께 성취하고 싶습니다. ㅇ사회생활과 연수경험 - 건설에 에세이 쓸때 참고 (한화건설) 아르바이트
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
회로1,2, 집적회로, 디지털논리회로1,2, 고급디지털회로 과목을 수강하며 회로에 대한 심화 지식을 쌓았습니다. 특히 고급디지털회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
회로1,2, 집적회로, 디지털논리회로1,2, 고급디지털회로 과목을 수강하며 회로에 대한 심화 지식을 쌓았습니다. 특히 고급디지털회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
[프로그래밍및실습/A+] C 언어로 프로그래밍을 학습. [전자회로및설계(1)/A+] 전자의 전반적인 이해와 기본적인 회로를 설계 하였습니다. [공업수학/A+] 전기관련분야를 이해하기 위한 과정을 학습. [디지털공학/A+] 순서논리회로의 분석 및 설계
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
논리회로설계실험 과목을 들었을 때 Rov-Lab 3000을 이용한 알람기능을 가진 디지털시계를 만들기 위해서 실험실에서 밤을 새며 알고리즘을 연구, 구현해 결국엔 원하는 결과물이 만들어져 즐거웠던 적. 이 경험들이 계속해서 머릿속에 좋은 기
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
top