• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 4,613건

제1장 컴퓨터와 디지털 논리회로 1. 디지털 시스템 1) 시스템의 정의 (1) 검은 상자형 시스템 ① 입력과 출력을 갖는 검은상자로 표현 ② 시스템의 입력과 출력에만 관심을 갖고 시스템을 고려 (2) 구성요소 집합으로서 시스템 ① 검은 상자
  • 페이지 29페이지
  • 가격 6,000원
  • 등록일 2021.04.19
  • 파일종류 아크로벳(pdf)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
제1장 컴퓨터와 디지털 논리회로 1. 디지털 시스템 1) 시스템의 정의 (1) 검은 상자형 시스템 ① 입력과 출력을 갖는 검은상자로 표현 ② 시스템의 입력과 출력에만 관심을 갖고 시스템을 고려 (2) 구성요소 집합으로서 시스템 ① 검은 상자
  • 페이지 29페이지
  • 가격 5,500원
  • 등록일 2019.05.14
  • 파일종류 아크로벳(pdf)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
N = (an-1 an-2…a0 ? a-1a-2…a-m)r = an-1rn-1 + an-2rn-2 + … a0r0 + a-1r-1 + a-2r-2 + … a-mr-m = 23page시그마기호 akrk(0≤ak 제2장 데이터 표현 제3장 부울대수와 논리게이트 제4장 부울함수의 간소화 및 구현 제5장 조합논리회로 - 출제예상문제 및 해설
  • 페이지 28페이지
  • 가격 5,500원
  • 등록일 2010.03.15
  • 파일종류 아크로벳(pdf)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
논리게이트와 부울대수 3.1 논리연산과 논리게이트 3.2 부울대수 3.3 부울함수의 정규형 및 표준형 제4장 부울함수의 간소화 및 구현 4.1 개요 4.2 카노우 도표 방법 4.3 NAND 게이트와 NOR 게이트를 이용한 구현방법 제5장 조합논리회로 5.1 개요 5.2
  • 페이지 28페이지
  • 가격 5,500원
  • 등록일 2009.03.27
  • 파일종류 아크로벳(pdf)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
제1장 컴퓨터와 디지털 논리회로 1. 디지털 시스템 1) 시스템의 정의 (1) 검은 상자형 시스템 ① 입력과 출력을 갖는 검은상자로 표현 ② 시스템의 입력과 출력에만 관심을 갖고 시스템을 고려 (2) 구성요소 집합으로서 시스템 ① 검은 상자
  • 페이지 29페이지
  • 가격 5,000원
  • 등록일 2018.05.23
  • 파일종류 아크로벳(pdf)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 3건

디지털타임스 http://www.dt.co.kr 주제별 참고문헌 □ 인터넷전화의 정부정책과 과제 ○ 변정욱, 김남심, 김민정(2005), “인터넷전화 제도화 관련 주요이슈 및 제도 설명”, KISDI 이슈리포트 ○ 권오상, “국내외 인터넷전화 정책동향 및 시사점”,
  • 페이지 50페이지
  • 가격 3,300원
  • 발행일 2009.05.05
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
논리과정을 설명하는 데 주안점을 두었으며, 후반부에 실제 사용한 회로도 및 프로그램을 수록하였습니다. , Ⅱ 본론 2.1 기본 원리 (1) 주차 시뮬레이션 1) 주차 공간에 대한 데이터를 로드 한다. (실제 구현의 경우 주차 공간에 대한 데이
  • 페이지 40페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자
대체되어가고 있는 상황에 있다. 따라서 DC 모터의 한 부류인 BLDC 모터의 경우 90년대 이후로 컴퓨터 산업을 포함한 정보화 산업의 급속한 발전에 따라 그 생산량이 급성장하고 있다. 이것은 BLDC 모터의 경우 반도체 소자를 이용한 스위칭 방식
  • 페이지 54페이지
  • 가격 9,000원
  • 발행일 2009.01.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 14건

논리대결'에 참여하여 2연승을 차지하기도 하였습니다. 이런 저의 열정과 에너지를 대우조선해양에서 발산하고 앞서 말씀드린 저의 비전을 함께 성취하고 싶습니다. ㅇ사회생활과 연수경험 - 건설에 에세이 쓸때 참고 (한화건설) 아르바이트
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
회로1,2, 집적회로, 디지털논리회로1,2, 고급디지털회로 과목을 수강하며 회로에 대한 심화 지식을 쌓았습니다. 특히 고급디지털회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
회로1,2, 집적회로, 디지털논리회로1,2, 고급디지털회로 과목을 수강하며 회로에 대한 심화 지식을 쌓았습니다. 특히 고급디지털회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
[프로그래밍및실습/A+] C 언어로 프로그래밍을 학습. [전자회로및설계(1)/A+] 전자의 전반적인 이해와 기본적인 회로를 설계 하였습니다. [공업수학/A+] 전기관련분야를 이해하기 위한 과정을 학습. [디지털공학/A+] 순서논리회로의 분석 및 설계
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
논리회로설계실험 과목을 들었을 때 Rov-Lab 3000을 이용한 알람기능을 가진 디지털시계를 만들기 위해서 실험실에서 밤을 새며 알고리즘을 연구, 구현해 결국엔 원하는 결과물이 만들어져 즐거웠던 적. 이 경험들이 계속해서 머릿속에 좋은 기
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
top