• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 3,785건

디지털시계를 제작함으로서 회로구성의 용이성, 범용성 등의 장점을 직접 체험할 수 있으며 지금까지 배운 디지털 시스템에 대한 모든 이론을 실생활에 접목시킬 수있다. 부품/ 준비물 브래드보드판 1개 GAL16V8D 14개 7-Segment 7개 니퍼,
  • 페이지 18페이지
  • 가격 2,000원
  • 등록일 2013.04.29
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
개 요 ◉ 주차 별 설계 진행 과정 ◉ 오류 검토 ◉ 조별 활동 및 임무 분담 ◉ Data sheets ◉ 기타 공구 및 사용 ◉ 최종 회로도 및 Multisim 실험 결과 ◉ 최종 결과물의 동작에 대한 개요 ◉ 제작 후기
  • 페이지 17페이지
  • 가격 2,300원
  • 등록일 2013.08.28
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디지털 시계에서 구현한 기능 시간, 분, AM/PM을 display. 시간이 12가 될 때마다 AM/PM 변환. 시계를 12시 00분으로 초기화하는 리셋 스위치 시계를 멈추거나 동작하게 하는 고/스탑 기능 스위치 
  • 페이지 2페이지
  • 가격 2,000원
  • 등록일 2009.05.21
  • 파일종류 기타
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디지털시계 설계 및 제작 프로젝트를 진행하면서 디지털 시계의 주요 기능과 각종 부가기능들을 브래드 보드판에서 구현하는 것은 소자와 브래드 보드판의 문제만 없다면 회로를 구성하는데 치명적인 문제점은 없었다. 하지만 브래드 보드
  • 페이지 5페이지
  • 가격 1,000원
  • 등록일 2015.03.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디지털 시계에서는 아래와 같이 총 5 byte의 데이터를 저장하고 불러온다. Ⅰ. 목 표 Ⅱ. 개발환경 Ⅲ. 제작 1. 부품리스트 2. 회로도 3. 소스코드 4. 실험결과 5. 기능별 원리 및 동작해석 (1) Timer/counter를 이용한 ‘1초’ 카운트 (2) 키
  • 페이지 30페이지
  • 가격 7,000원
  • 등록일 2015.12.04
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 36건

atmega128을 이용한 디지털시계구동 대회에서 최우수상을 수상한 작품입니다. 아래의 주소에 동작 동영상이 링크되어 있습니다. http://minihp.cyworld.com/54429847/329258077 구현기능으로는.... 1) 시간모드 - 현재 시간 출력 - 처음 시작
  • 페이지 16페이지
  • 가격 12,000원
  • 발행일 2009.06.15
  • 파일종류 압축파일
  • 발행기관
  • 저자
디지털방송의 특징 3. 세계의 디지털 위성방송 현황 가. 유럽의 디지털 위성방송 나 영국의 디지털 위성방송 다 일본의 디지털 위성방송 라 우리나라의 디지털 위성방송 제 2 장. 광고환경의 변화 1.제작 환경의 변화 가. 제작비의 증가
  • 페이지 30페이지
  • 가격 3,000원
  • 발행일 2007.11.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
디지털 액자 제작을 위한 하드웨어 구현과 소프트웨어를 설계하였다. 디지털액자를 설계하기까지 많은 시행착오가 있었다. MCU 회로 설계 과정, RS-232 통신 문제 등 이런 문제들을 해결하기 위해 인터넷에서 조사한 회로를 보고 우선 회로에 대
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
디지털 시대에는 ‘디지털 지적재산권’에 관한 개념이 강화되어 저작권에 대한 인식도 발전할 것이다. 이와 함께 복제 기술의 발전도 이루어져 복제하려는 사람들과 그것을 막으려는 사람들의 싸움이 지금보다 훨씬 더 심해질 것이다. 현재
  • 페이지 33페이지
  • 가격 3,000원
  • 발행일 2009.12.01
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
디지털 시대에는 ‘디지털 지적재산권’에 관한 개념이 강화되어 저작권에 대한 인식도 발전할 것이다. 이와 함께 복제 기술의 발전도 이루어져 복제하려는 사람들과 그것을 막으려는 사람들의 싸움이 지금보다 훨씬 더 심해질 것이다. 현재
  • 페이지 33페이지
  • 가격 3,000원
  • 발행일 2009.12.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 52건

년 3월부터 11월까지 전자회로 학회 활동을 했습니다. 프로젝트 주제로 디지털시계와 다운로드기 설계를 부여받았고, 이것을 설계하였습니다. 그리고 현재는 자동주행 로봇 제작을 진행하고 있습니다. 시중에 출시된 제품과 차별성을 두는 것
  • 가격 1,400원
  • 등록일 2009.05.02
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
제작하여 가을 학술제에 참여하였습니다. 학과에선 데이터베이스 수업을 통해 DB를 설계, MS-SQL로 DB를 연결, C#으로 구현으로 한 학원 관리 프로젝트를 하였습니다. 그리고 실험 시간을 통해서 디지털 시계를 만들어 볼 수 있었습니다. 개인적
  • 가격 1,200원
  • 등록일 2010.03.26
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
디지털시계를 만들기 위해서 실험실에서 밤을 새며 알고리즘을 연구, 구현해 결국엔 원하는 결과물이 만들어져 즐거웠던 적. 이 경험들이 계속해서 머릿속에 좋은 기억으로 남아있다는 것은 분명히 제가 연구/개발을 하는 일에 대해서 재밌
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
는 화룡점정(畵龍點睛)라고 생각합니다. 저는 ○○○○○에서 영상을 제작하여 많은 사람들에게 메세지와 감흥을 전달하고 싶어 지원하게 되었습니다. 수많은 영상콘텐츠 문화를 향유해 온 세대로서, 영상콘텐츠가 가지는 영향력과 가능성
  • 가격 2,000원
  • 등록일 2016.05.09
  • 파일종류 한글(hwp)
  • 직종구분 전문직
해당 직무를 수행하기 위해서 쌓아온 역량 “저는 뉴미디어 PD로서 필요한 세가지 역량을 키워왔습니다.“ 첫째, 새로운 시도를 찾아 나서는 도전 정신 정부기관 000 영상기자단 활동 당시 체험형 예능으로 정책 홍보 영상을 기획하여 의사
  • 가격 11,000원
  • 등록일 2023.02.08
  • 파일종류 아크로벳(pdf)
  • 직종구분 기타
top