• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 70건

개의 출력선 중의 하나에 분배하므로 데이터 분배기(data distributer)라고도 한다. Select 출 력 A B D0 D1 D2 D3 0 0 1 0 0 0 0 1 0 1 0 0 1 0 0 0 1 0 1 1 0 0 0 1 1). 디코더(DECODER) 2). 인코더(ENCODER) 3). 멀티플렉서(MULTIPLEXER) 4). 디멀티플렉서(DEMULTIPLEXER)
  • 페이지 6페이지
  • 가격 500원
  • 등록일 2006.04.25
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
Reference 1. http://princess.kongju.ac.kr/DigitalMain/framekor.htm 2. 디지털논리와 컴퓨터설계, 황희융, 1986 3. 디지털전자회로, 탑출판사, 1982 4. 디지털논리설계기초, 에드텍, 1994 ○ 디코더(Decoder) ○ 7세그먼트 ○ 인코더(Encoder) ○ 다중화기 ○ Reference
  • 페이지 6페이지
  • 가격 1,000원
  • 등록일 2011.05.02
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
디코더, 인코더, MUX에 대해 공부하였다. 이전까지는 간단한 소자들을 몇 개씩 이용한 실험이었지만 이번에 공부한 개념은 실제로 사용할 수도 있고 여러가지로 응용이 가능할 수 있을 것 같다. 항상 느끼는 것이지만 ‘디지털회로설계’ 보다
  • 페이지 9페이지
  • 가격 1,000원
  • 등록일 2011.11.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로 실험 실험 8. 인코더와 디코더 회로 예비보고서 Ⅰ. 실험목적 Ⅱ. 이론 Ⅲ. 실험 준비물 Ⅳ. 예비과제 Ⅴ. 실험 예상
  • 페이지 8페이지
  • 가격 6,300원
  • 등록일 2015.11.10
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
존재하는 1의 개수는 짝수, 0이면 데이터 선에 존재하는 1의 개수가 홀수이다. 1. 디코더(decoder) 2. 인코더(encoder) 3. 우선순위 인코더 4. 멀티플렉서 5. 가산기(adder) 1) 반가산기(half adder) 2) 전가산기(full adder) 6. 패리티 발생기
  • 페이지 7페이지
  • 가격 3,000원
  • 등록일 2009.06.03
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 1건

encoder test-bench> module hamm_enc_tb; reg [7:0] in; reg reset; wire [11:0] out; integer i; hamm_enc uut (.in(in), .out(out), .reset(reset)); initial begin reset = #10 1; reset = #10 0; in = 0; for (i=0; i<255; i=i+1) in = #10 in + 1; end endmodule 4장. 3절. 4 Hamming decoder test-bench <
  • 페이지 24페이지
  • 가격 30,000원
  • 발행일 2009.12.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
top