• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 1,203건

코드(Combined Code) 두 개 이상의 코드를 조합하여 만든 코드 방식 z 코드 종류별 특징과 응용 분야 q 코드의 오류 w 코드 오류를 방지하기 위한 지침 코드 체계를 기억하고 입력하기 쉽게 설계 코드 책자를 명확하고 자세하게 작성하여 활용 입력
  • 페이지 16페이지
  • 가격 2,000원
  • 등록일 2010.06.11
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계 2.1코드 설계 -기지점에서 3차원좌표의 차를 구하여 미지점에서 구한 좌표를 보정하는 방식을 사용하였다. 간단하게 설명하면 다음과 같다. ①미지점과 기지점에서 매시간 같은 위성,같은 시각을 이용해 단독측위를 실시한다. 단독측
  • 페이지 13페이지
  • 가격 3,500원
  • 등록일 2010.05.24
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계되었다. < 참고문헌 > - DigitalDesign, J.F.Wakerly 저, PrenticeHall, 2006. - ABEL로 배우는 논리회로 설계, 차영배 저, 다다미디어, 2003. < 목 적 > < 설 계 내 용 > < 문제 이해 / module동작원리 및 설계코드 설명 > < 시뮬레이션 결과 > <
  • 페이지 3페이지
  • 가격 5,000원
  • 등록일 2012.03.11
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
departure time is 9:45 p.m.arriving at 11:58 p.m.\") :printf(\"Closet departure time is 7:00 p.m. arriving at 9:20 p.m.\"); } return 0; } 5.수행결과 [1번] 1.문제정의 2.분석 3.설계 4)소스코드 5.수행결과 [2번] 1.문제정의 2.분석 3.설계 4.소스코드 5.수행결과
  • 페이지 6페이지
  • 가격 4,200원
  • 등록일 2013.10.22
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
프로젝트 1. Pintos Thread (설계 프로젝트 수행 결과) I. 개발 목표 II. 개발 범위 및 내용  가. 개발 범위  나. 개발 내용  나. 개발 방법 IV. 연구 결과  1. 합성 내용  2. 제작 내용  3. 시험 및 평가 내용  4. Priority-lifo test 분석
  • 페이지 625페이지
  • 가격 12,000원
  • 등록일 2015.01.19
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 12건

코드의 한 종류인 해밍코드를 Verilog-HDL을 이용하여 설계해보고 성능을 분석해 보았다. Hamming code를 설계하기까지 많은 시행착오가 있었다. 알고리듬의 이해, Simulink 툴 사용의 미숙으로 인한 시행착오 등 이런 문제들을 해결하기 위해 인터넷
  • 페이지 24페이지
  • 가격 30,000원
  • 발행일 2009.12.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계  3.1 연구의 대상 및 자료 수집방법  3.2 분석방법   가. 설문내용의 구성   나. 자료처리방법 제 4장 연구 결과  4.1 응답자의 특성   가. 응답자의 사회 인구학적 특성   나. 응답자의 건강관련 특성  4.2. 의약분업
  • 페이지 38페이지
  • 가격 3,500원
  • 발행일 2015.08.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
codeGal 그림4-4. MBDecodeGal 그림 4-5. IpolGal 그림4-6. Entropy Coding 그림 4-7. 1개의 I frame과 2개의 P frame으로 이루어져 있는 경우 그림 4-8. 1개의 I frame과 5개의 P frame으로 이루어져 있는 경우 그림 4-9. 1개의 I frame과 8개의 P frame으로 이루어져 있
  • 페이지 58페이지
  • 가격 2,000원
  • 발행일 2007.11.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계 3장. 3절. 1 순서도 3장. 3절. 2 MCU(Atmega128)핵심코드(타이머/인터럽트 설정) 3장. 3절. 3 MCU (Atmega128)핵심코드(UART 설정) 3장. 3절. 4 이벤트 생성 3장. 3절. 5 KEY PAD 3장. 3절. 6 타이머 처리 3장. 3절. 7 직렬통신 4장. 결론 및 기대효
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Code Composer Studio Ⅱ-1. TI C6713 DSK Ⅱ-2. Code Composer Studio Ⅲ. 효과적인 DSP를 하기위한 이론 Ⅲ-1. I/O Module Ⅲ-2. Ping-Pong Buffer Ⅳ. Filter 설계 Ⅳ-1. Low Pass Filter Ⅳ-2. LPF Code Ⅳ-3. Band Pass Filter Ⅳ-4. BPF Code V. DSB-SC의 시스템 설계 Ⅴ-1. D
  • 페이지 21페이지
  • 가격 6,500원
  • 발행일 2009.01.02
  • 파일종류 압축파일
  • 발행기관
  • 저자

취업자료 26건

설계 기술력 향상을 위하여 기술 개발 제품들에 대한 설계 신뢰도 향상 및 설계검증 등 역량을 쌓으며 Background를 구축하겠습니다. 10년 후에는 정직의 가치를 지키며 해외 경쟁사들과 전략적 기술 제휴를 체결하며 선진 기술 도입 및 글로벌
  • 가격 4,000원
  • 등록일 2023.09.19
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
아닌 수평적인 조직. 개개인의 의견과 아이디어를 중시하는 회사 분위기. 성과에 따라 스톡옵션 등으로 차별성을 둔 급여. * Chapter 1 - 자기진단종합 * Chapter 2 - 구직전략 세우기 * Chapter 3 - 생애설계 * Chapter 4 - 이력서와 자기소개
  • 가격 3,300원
  • 등록일 2012.05.21
  • 파일종류 피피티(ppt)
  • 직종구분 산업, 과학, 기술직
설계·제조와 서비스를 비롯해 고유노심설계와 안전해석 코드체계 및 방법론 개발 등의 기술개발사업 해외 우라늄 자원개발사업 등을 통하여 안전하고 경제적으로 열을 발생할 수 있도록 원자로 내 연료집합체들의 위치를 선정하고 안전성
  • 가격 1,800원
  • 등록일 2013.05.20
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
설계에 필요한 코드와 관련된 내용의 서적을 찾아보고, 선배님들께 자문했습니다. 또한, 소음제거를 할 수 있는 간단한 명령어들을 익혀 좀 더 나은 소음제거 결과를 얻기 위해 이틀 밤을 새우며 시행착오를 겪었습니다. 이를 토대로 20장에
  • 가격 3,000원
  • 등록일 2023.02.10
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
설계 방법 등을 배울 수 있었습니다. 프로젝트 진행 과정에서는 Git을 이용한 협업 방법을 익히고, 이슈 트래킹과 코드 리뷰를 통해 팀원들과의 소통 능력을 향상시킬 수 있었습니다. 또한 프로젝트에서 발생한 오류나 문제점을 해결해나가는
  • 가격 3,000원
  • 등록일 2023.11.07
  • 파일종류 한글(hwp)
  • 직종구분 IT, 정보통신
top