• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 1,216건

gram을 그리시오. 단 state는 Q1Q2이며 다음과 같이 정의한다: S0=00, S1=01, S2=10, S3=11. (3) 동일한 동작을 하는 회로를 D flip-flops 대신에 2개의 J-K flip-flops을 이용하여 설계하시오. 각 flip-flop의 출력은 위의 회로와 같이 Q1와 Q2로 하여라. 단 최소의 gates
  • 페이지 2페이지
  • 가격 2,500원
  • 등록일 2024.01.24
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
논리상으로는 입력이 출력에 바로 영향을 미치는 것으로 인식되지만 실제 회로로 구현시에는 그렇지 않다. 트랜지스터의 동작속도가 정확하게 0이 되지 않기 때문인데 보통 전달지연은 수 ns(nano second)에서 수십 ns가 걸리게 되고 천이시간도
  • 페이지 6페이지
  • 가격 3,300원
  • 등록일 2012.03.11
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로의 설계와 해석을 용이한 부울대수를 사용하면, 변수 사이의 진리표 관계를 대수형식으로 표시와 논리도의 입출력 관계를 대수형식으로 표시하기가 쉬우며, 동일 기능을 가진 더 간단한 회로(논리식의 간소화)를 설계가 편리하다는 것을
  • 페이지 5페이지
  • 가격 3,300원
  • 등록일 2012.03.11
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로를 말하며, 출력변수들은 차(D:difference)와 빌림수(B:borrow)로 나타낸다. 전감산기는 뒷단의 위치에 빌려준 1을 고려하며 두 비트의 뺄셈을 수행하는 논리회로로서, 림수(borrow) 입력을 취급하기 위해 변수 A,B에 추가로 B의 입력이 한 개 필요
  • 페이지 4페이지
  • 가격 3,300원
  • 등록일 2012.03.11
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
논리 회로 설계에 대해 알아보는 것이다. 처음 프로젝트를 시작할 때 HBE - COMBO 장비와 VHDL이라는 언어 사용이 처음이라서 많이 힘들고 어려웠다. 특히 장비 부족으로 실험실에서 직접 장비를 돌려가며 코드를 분석하고 확인 하지 못해 실험과
  • 페이지 16페이지
  • 가격 5,000원
  • 등록일 2012.03.11
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 3건

회로에서 구현하였던 회로를 구현하기에는 광범위한 회로가 요구됨으로 인하여 UP신호가 들어가는 곳에 PMOS로 들어가는 하나의 입력신호를 인가하였고 NMOS 쪽에는 DOWN신호를 인가하여 위상간의 차이를 전하펌프에서 전류의 크기로 바꾸어 전
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
아주대 공공정책대학원. 박동순. 지방자치단체의 지역사회복지행정에 관한 연구, 출판지 : 한양대 지방자치대학원. 이재완. 지방자치단체 사회복지지출 수준의 결정요인 분석, 출판지 : 한국사회복지정책학회. 이은영. 지방자치제도가 지방
  • 페이지 27페이지
  • 가격 3,000원
  • 발행일 2012.06.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
논리과정을 설명하는 데 주안점을 두었으며, 후반부에 실제 사용한 회로도 및 프로그램을 수록하였습니다. , Ⅱ 본론 2.1 기본 원리 (1) 주차 시뮬레이션 1) 주차 공간에 대한 데이터를 로드 한다. (실제 구현의 경우 주차 공간에 대한 데이
  • 페이지 40페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자

취업자료 14건

회로1,2, 집적회로, 디지털논리회로1,2, 고급디지털회로 과목을 수강하며 회로에 대한 심화 지식을 쌓았습니다. 특히 고급디지털회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
회로1,2, 집적회로, 디지털논리회로1,2, 고급디지털회로 과목을 수강하며 회로에 대한 심화 지식을 쌓았습니다. 특히 고급디지털회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
[프로그래밍및실습/A+] C 언어로 프로그래밍을 학습. [전자회로및설계(1)/A+] 전자의 전반적인 이해와 기본적인 회로를 설계 하였습니다. [공업수학/A+] 전기관련분야를 이해하기 위한 과정을 학습. [디지털공학/A+] 순서논리회로의 분석 및 설계
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
논리회로(2013) / A+학점 취득 전자회로1(2013) / A-학점 취득 회로이론1(2013) / A+학점 취득 전자장1(2013) / A학점 취득 전자회로2(2013) / B+학점 취득 회로이론2(2013) / A+학점 취득 전자장2(2013) / A학점 취득 마이크로프로세서0000(2014) / A학점 취득 전자회
  • 가격 10,000원
  • 등록일 2017.10.19
  • 파일종류 한글(hwp)
  • 직종구분 IT, 정보통신
논리회로설계실험 과목을 들었을 때 Rov-Lab 3000을 이용한 알람기능을 가진 디지털시계를 만들기 위해서 실험실에서 밤을 새며 알고리즘을 연구, 구현해 결국엔 원하는 결과물이 만들어져 즐거웠던 적. 이 경험들이 계속해서 머릿속에 좋은 기
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
top