• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 7,262건

제2장 모델링과 시뮬레이션 제3장 대기행렬 문제 제4장 시뮬레이션 모델링 제5장 이산사건 시뮬레이션 제6장 시뮬레이션 언어 제7장 난수의 발생 제8장 확률변수의 발생 2절 - 각 장별 출제예상문제(해설포함) 총46페이지/ 교재2장~8장 2절 핵
  • 페이지 46페이지
  • 가격 6,500원
  • 등록일 2010.05.07
  • 파일종류 아크로벳(pdf)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
시뮬레이션이란? 실시스템에서 실시스템의 성질을 나타내는 모델을 만들고 그 모델로 실험을 하는 것(모의 실험) 2. 시뮬레이션 기본요소 1) 시뮬레이션 기본요소 ① 기본 요소 - 실시스템 : 모델링(modeling) - 시뮬레이터 : 시뮬레이션(simulation)
  • 페이지 63페이지
  • 가격 8,800원
  • 등록일 2019.12.13
  • 파일종류 아크로벳(pdf)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
제5장 모델링과 시뮬레이션 1. 시스템과 모델 1) 개 요 ① 시스템 모델의 이용 - 시스템이나 문제를 정의하는 설명적 장치 - 한계적 구성요소를 결정하는 분석도구 - 제안된 해결방안을 종합하고 평가하는 설계평가도구 - 미래의 개발계획을 예
  • 페이지 48페이지
  • 가격 6,600원
  • 등록일 2019.11.06
  • 파일종류 아크로벳(pdf)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
시뮬레이션이란? 실시스템에서 실시스템의 성질을 나타내는 모델을 만들고 그 모델로 실험을 하는 것(모의 실험) 2. 시뮬레이션 기본요소 1) 시뮬레이션 기본요소 ① 기본 요소 - 실시스템 : 모델링(modeling) - 시뮬레이터 : 시뮬레이션(simulation)
  • 페이지 63페이지
  • 가격 8,000원
  • 등록일 2018.12.19
  • 파일종류 아크로벳(pdf)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
제5장 모델링과 시뮬레이션 1. 시스템과 모델 1) 개 요 ① 시스템 모델의 이용 - 시스템이나 문제를 정의하는 설명적 장치 - 한계적 구성요소를 결정하는 분석도구 - 제안된 해결방안을 종합하고 평가하는 설계평가도구 - 미래의 개발계획을 예
  • 페이지 48페이지
  • 가격 6,000원
  • 등록일 2018.11.20
  • 파일종류 아크로벳(pdf)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 25건

시뮬레이션 결과에 대한 기술통계량을 보여주고 있다. NPV 최소 값으로는 19,335,870,000원, 최대값은 55,327,460,000원, 평균값은 17,204,620,000원, 표준편차 11,083,570,000원, 외도는 0.3342264, 첨도 3.060628, 중앙값은 13,091,960,000원으로 나타났다. <그림1>
  • 페이지 15페이지
  • 가격 2,800원
  • 발행일 2012.12.05
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
시뮬레이션과 Matlab/Simulink 시뮬레이션 결과 <그림Ⅲ-5>배터리 SOC에 대한 C프로그램 시뮬레이션과 Matlab/Simulink 시뮬레이션 결과 <그림Ⅲ-6> FCHEV 시뮬레이터의 기준속도와 실제속도 실험 결과 <그림Ⅲ-7> FCHEV 시뮬레이터에서 배터리 SOC 실험
  • 페이지 30페이지
  • 가격 5,500원
  • 발행일 2008.11.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
시뮬레이션을 통해 알 수 있었다.. 또한 E-field가 교차도파관 내에서 어떻게 지나가는 지를 살펴보기 위해 시뮬레이션을 하였다. 그 결과는 아래의 그림과 같다. 그림 6. 교차도파관 방향성 결합기 E-Field 분포 그림에서 보는 봐아 같이 Input 포트
  • 페이지 7페이지
  • 가격 2,000원
  • 발행일 2009.02.10
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
as a plug-in type. 단일 전류센서를 갖는 단상 반브릿지 능동전력필터 1. 서론 2. 능동전력필터의 원리 3. 단일 전류센서 능동전력필터 4. 시뮬레이션 모형과 결과 5. 프로토타입 제작 및 실험 6. 결과 참고문헌 Abstract
  • 페이지 17페이지
  • 가격 10,000원
  • 발행일 2009.04.17
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
시뮬레이션 결과 가) Hamming encoder <그림4-10. Hamming encoder 타이밍도> 해밍 코드의 알고리듬에 따라 00101100의 입력이 001011100011의 encoding 된다. 나)Hamming decoder <그림4-11. Hamming decoder 타이밍도> 해밍 코드의 알고리듬에 따라 오류가 없을 것
  • 페이지 24페이지
  • 가격 30,000원
  • 발행일 2009.12.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

기업신용보고서 24건

(주)플레이스시뮬레이션에 대한 재무제표 및 기업정보를 중심으로 분석한 상세보고서(종합신용등급, 현금흐름등급, Watch등급, 기업개요, 주요재무상황, 주요재무비율, 재무신용평정, 신용도 분석의견, 기업현황, 주요 주주현황, 경영진현황,
  • 페이지 8페이지
  • 가격 11,000원
  • 발행일 2023.04.13
  • 파일종류 아크로벳(pdf)
  • 기업명 (주)플레이스시뮬레이션
  • 대표자 허환
  • 보고서타입 영문
(주)플레이스시뮬레이션에 대한 재무제표 및 기업정보를 중심으로 분석한 상세보고서(종합신용등급, 현금흐름등급, Watch등급, 기업개요, 주요재무상황, 주요재무비율, 재무신용평정, 신용도 분석의견, 기업현황, 주요 주주현황, 경영진현황,
  • 페이지 12페이지
  • 가격 55,000원
  • 발행일 2023.04.13
  • 파일종류 아크로벳(pdf)
  • 기업명 (주)플레이스시뮬레이션
  • 대표자 허환
  • 보고서타입 영문
(주)플레이스시뮬레이션에 대한 재무제표 및 기업정보를 중심으로 분석한 상세보고서(종합신용등급, 현금흐름등급, Watch등급, 기업개요, 주요재무상황, 주요재무비율, 재무신용평정, 신용도 분석의견, 기업현황, 주요 주주현황, 경영진현황,
  • 페이지 16페이지
  • 가격 13,000원
  • 발행일 2023.04.13
  • 파일종류 아크로벳(pdf)
  • 기업명 (주)플레이스시뮬레이션
  • 대표자 허환
  • 보고서타입 국문
(주)플레이스시뮬레이션에 대한 재무제표 및 기업정보를 중심으로 분석한 상세보고서(종합신용등급, 현금흐름등급, Watch등급, 기업개요, 주요재무상황, 주요재무비율, 재무신용평정, 신용도 분석의견, 기업현황, 주요 주주현황, 경영진현황,
  • 페이지 5페이지
  • 가격 7,000원
  • 발행일 2023.04.13
  • 파일종류 아크로벳(pdf)
  • 기업명 (주)플레이스시뮬레이션
  • 대표자 허환
  • 보고서타입 국문
웍크온시뮬레이션(주)에 대한 재무제표 및 기업정보를 중심으로 분석한 상세보고서(종합신용등급, 현금흐름등급, Watch등급, 기업개요, 주요재무상황, 주요재무비율, 재무신용평정, 신용도 분석의견, 기업현황, 주요 주주현황, 경영진현황, 관
  • 페이지 12페이지
  • 가격 55,000원
  • 발행일 2022.05.04
  • 파일종류 아크로벳(pdf)
  • 기업명 웍크온시뮬레이션(주)
  • 대표자 박근종
  • 보고서타입 영문

취업자료 162건

해 시뮬레이션 연습에 참여할 기회도 가졌습니다. 이를 통해 응급상황에서의 의사결정 능력을 키우고 환자의 안전을 지키면서 효율적인 간호 서비스를 제공하는 방법을 배웠습니다. 보건 분야에 대한 지식을 넓히기 위해 학업 외에도 다양한
  • 가격 4,000원
  • 등록일 2023.07.21
  • 파일종류 한글(hwp)
  • 직종구분 의료, 간호직
평가인증 컨설턴트의 전문성 강화를 위해서 사업 기본단계에서부터 전문 인재들의 확보와 보육 평가인증 시뮬레이션 시스템을 적극적으로 도입하여 어린이집 평가인증 기초 단계를 탄탄히 만들어 갈 것입니다. 그리고 현재 한국보육진흥원
  • 가격 4,000원
  • 등록일 2022.01.25
  • 파일종류 한글(hwp)
  • 직종구분 기타
환경 정책들이 정성적인 요소와 정량적인 요소를 함께 갖추었을 때, 원하는 목표에 도달할 수 있다고 생각합니다. 3학년 겨울방학 때부터 시작하였던 학부연구생 기간 동안에, 선배들로부터 CFD시뮬레이션 방법을 배웠습니다. 이러한 배움의
  • 가격 6,000원
  • 등록일 2009.12.07
  • 파일종류 한글(hwp)
  • 직종구분 교육 강사직
시뮬레이션을 통하여 소프트웨어 개발과 보급, 웹 비즈니스 통합 솔루션을 제공한 경험이...(이하생략) 7. 회사가 앞으로 발전하기 위해서는 본인이 어떤 노력을 하여야 한다고 생각하는지 견해를 서술하십시오. 건축공학설계 프로젝트에 참
  • 가격 3,000원
  • 등록일 2021.12.22
  • 파일종류 아크로벳(pdf)
  • 직종구분 산업, 과학, 기술직
시뮬레이션과의 결합 전략 시뮬레이션 + 육성 시뮬레이션 + 연애 시뮬레이션 →현실성 + 재미 업그레이드 ① 후계자 개념_ 혈연시스템 2세(아들, 딸)를 만들 수 있는 시스템으로 자식에게 내 영지와 군사를 양도할 수 있다. 이때 자식은 최대 3
  • 가격 2,000원
  • 등록일 2009.08.30
  • 파일종류 한글(hwp)
  • 직종구분 전문사무직
top