• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 592건

시스템아키텍쳐, 인터페이스 표준화 등 표준화방안을 마련 종합적인 건설CALS/EC정보 교환체계 구축 필요(XML 문법구조의 각종 서식 등 전자문서, 건설 도면정보 교환을 위한 인터페이스 개발 포함) 3. 건설업 협회, 단체의 중소건설업 IT화 지원
  • 페이지 8페이지
  • 가격 5,000원
  • 등록일 2011.10.02
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
독립성이 DBMS를 위해 왜 중요한지를 여러 종류의 데이터 독립성을 예로 들어 설명하라. 4. DBMS의 전형적인 시스템 아키텍처를 설명하라. 5. 데이터베이스 관리 시스템과 파일 관리 시스템을 비교 설명하라 <<참고 문헌 및 싸이트>>
  • 페이지 6페이지
  • 가격 1,000원
  • 등록일 2012.02.29
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
시스템아키텍쳐 시스템 뷰(View) : 여러 개의 독립된 프로세스를 어떤기준으로 나누어 모델링 작 업을 할 것인가? (데이터 뷰, 기능 뷰, 조직 뷰, 자원 뷰) 시스템 레벨(Level) : 각각의 독립된 뷰에 해당되는 부분의 구체적인 모델을 어떤 단계 로
  • 페이지 5페이지
  • 가격 800원
  • 등록일 2005.05.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
시스템아키텍쳐, 인터페이스 표준화등 표준화방안을 마련 종합적인 건설CALS/EC정보 교환체계 구축 필요(XML 문법구조의 각종 서식 등 전자문서, 건설 도면정보 교환을 위한 인터페이스 개발 포함) 3) 건설업 협회, 단체의 중소건설업 IT화 지원
  • 페이지 10페이지
  • 가격 6,500원
  • 등록일 2013.07.31
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
시스템아키텍쳐, 인터페이스 표준화등 표준화방안을 마련 종합적인 건설CALS/EC정보 교환체계 구축 필요(XML 문법구조의 각종 서식 등 전자문서, 건설 도면정보 교환을 위한 인터페이스 개발 포함) 3) 건설업 협회, 단체의 중소건설업 IT화 지원
  • 페이지 17페이지
  • 가격 7,500원
  • 등록일 2013.07.31
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 4건

시스템", 大英社 [9]유헉, "응용 프로그램과 상호 협조하는 멀티미디어 스케줄링" [10]인천대학교 정보통신공학과 네트워크 연구실(2005), "OPNET 9.1, Computer Networks- A Systems Approach 3rd Ed, Lab Manual " Ⅰ 서론 1. 서론 2. 멀티미디어 1) 멀
  • 페이지 19페이지
  • 가격 3,500원
  • 발행일 2009.06.21
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
시스템이 없었다. ③ 전사적 위험 관리체제(firm-wide risk management system)를 구축하고 있는 세계선도 금융기관이나 기업들 역시 계량화하기 힘든 운영위험을 가장 중요한 금융위험중의 하나로 생각하고 있다는 점이다. 참고문헌 『금융부문 현안
  • 페이지 14페이지
  • 가격 2,400원
  • 발행일 2008.11.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
아키텍처 개념에 의한 신뢰계층화 및 대중소기업 상생협력모형 개발” 가톨릭대학교 박사학위논문 2006. p18-p22. 산업자원부, “대중소기업 상생협력 강화방안”, 2005. 5, p.13 삼성전자, “삼성전자와 중소기업 협력 사례”, 2005. 16 이갑수, “대
  • 페이지 29페이지
  • 가격 3,500원
  • 발행일 2011.12.13
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
시스템 구성의 저비용, 많은 네트워크 노드의 수용, 비교적 간단한 프로토콜 구조 등의 특징으로 무선 센서 및 제어 분야에서 굳건한 자리를 차지할 것으로 전망된다. 4. ZigBee활용 분야 4.1 ZigBee의 실제 활용 예 저가의 비용으로 최대 100m 까지
  • 페이지 18페이지
  • 가격 3,500원
  • 발행일 2011.12.28
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 7건

아키텍쳐, VLSI, 시스템프로그래밍, VHDL에 관하여 어느 정도의 기본지식을 습득하고 있습니다. 그리고 현재 정보처리산업기사 자격증을 보유하고 있습니다. 이러한 기본지식을 바탕으로 제가 대학원에 진학하여 구체적으로 알고 싶고 연구하
  • 가격 2,000원
  • 등록일 2008.11.20
  • 파일종류 한글(hwp)
  • 직종구분 기타
아키텍쳐는 어떤 것을 사용했는지? 19 액상제형 개발 경험 있는지? 20 콜드체인 시스템이 뭔가요? 21 OEM과 ODM의 차이는 무엇인가요? 22 생수를 만들 때 들어가는 원가구성 요소는 무엇인가요? 23 OEM 업무를 할 때 협력업체와에 있어서 가장 중요하
  • 가격 19,900원
  • 등록일 2022.11.03
  • 파일종류 한글(hwp)
  • 직종구분 기타
시스템으로 점점 전환 되는 시점에서 시스템 엔지니어 숫자는 점점 줄어들 것 같은데 본인 생각은? 34 Aws사용 내용 관련질문 애나그램 알고리즘 라이브 코딩 HTTPS 동작원리 35 소프트웨어 아키텍쳐에 대한 질문 36 현재 재직중인 회사에서 퇴
  • 가격 9,900원
  • 등록일 2023.06.29
  • 파일종류 아크로벳(pdf)
  • 직종구분 일반사무직
Architecture 및 상황별 백업복구 등에 대한 Oracle기술과 더불어 제가 지원하는 DBA 분야에서도 문제를 찾고 이를 해결하는 일련의 프로세스를 접목시킨다면 회사의 성장에 큰 도움이 될 수 있을 것이라고 생각합니다. * 아래의 각 항목에 대하
  • 가격 1,800원
  • 등록일 2012.03.28
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
아키텍처 제시 및 제안을 할 수 있는Technical Architecture가 되고 싶습니다. ◈ 5. 입사 후 포부 [융합 시스템 설계자] 입사 후 3년간 쌍용정보통신이 해온 일들을 하나하나 파악해감과 동시에 CCNP, OCJP와 같은 관련자격증을 취득 할 수 있도록 노력
  • 가격 1,800원
  • 등록일 2013.11.14
  • 파일종류 한글(hwp)
  • 직종구분 IT, 정보통신
top