• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 27건

BCD의 자리올림이필요하며, 그 합에서 1010을 (-)하든지 0110 (1010의 2의 보수)를 더 하면 된다. ③두 개의 BCD수를 더하여 BCD로 결과를 출력하는 회로로 두 BCD합의 최대 결과 9(1001)+9+1=(이전단캐리)=18 이고, 이진 가산기를 이용하여 합의 결과가 9이
  • 페이지 6페이지
  • 가격 3,300원
  • 등록일 2012.04.26
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
0000부터 1001까지의 코드만 사용하고 1010부터 1111까지의 코드는 사용하지 않는다. 따라서 BCD가산기의 경우 자리올림(carry)까지 포함하면 입력이 4+4+1=9개이고, 출력이 4+1=5개가 된다. 그런데 입력 개수가 5개 이상이 되면 카노맵을 이용한 논리식
  • 페이지 8페이지
  • 가격 1,000원
  • 등록일 2008.12.22
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
가감산기의 결과 1) symbol_1 adder adder symbol 2) symbol_2 full adder full adder symbol 3) symbol_3 input_1 input_1 symbol 4) symbol_4 fulladder_2 fulladder_2 symbol 5) symbol_5 input_2 input_2 symbol 4) 최종 회로도 회 로 도 functional [덧셈기] functional [뺄셈기] ★ 고찰 - BCD 가감산기
  • 페이지 4페이지
  • 가격 1,600원
  • 등록일 2015.02.23
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
BCD 가산기 <진리표> <논리표> BCD 가산기 시물레이터 결과 값 <BCD 가산기 소스> Library ieee;use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity scdrum4 is port ( s,w : in std_logic_vector(3 downto 0); c_in : in std_logic; y :buffer std_logic_vector(3 downto 0);
  • 페이지 7페이지
  • 가격 1,000원
  • 등록일 2008.04.10
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
문제점들이다. 앞으로의 실험에서는 최대한 까끔하고 보기 쉽게 회로도를 그려야 겠다. 1. 실험목표 2. 기초이론 □ 반가산기 □ 전가산기 □ BCD 덧셈기 □ BCD 4bit 덧셈기/뺄셈기 3. 실험 방법 4. 실험 결과 5. 실험 결과 및 고찰
  • 페이지 6페이지
  • 가격 1,300원
  • 등록일 2006.04.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top